KR100336914B1 - 비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응시료를 발생할 수 있는 지를 결정하는 방법 - Google Patents

비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응시료를 발생할 수 있는 지를 결정하는 방법 Download PDF

Info

Publication number
KR100336914B1
KR100336914B1 KR1020010074195A KR20010074195A KR100336914B1 KR 100336914 B1 KR100336914 B1 KR 100336914B1 KR 1020010074195 A KR1020010074195 A KR 1020010074195A KR 20010074195 A KR20010074195 A KR 20010074195A KR 100336914 B1 KR100336914 B1 KR 100336914B1
Authority
KR
South Korea
Prior art keywords
volatile
plasma
processing chamber
chamber
gaseous
Prior art date
Application number
KR1020010074195A
Other languages
English (en)
Inventor
예 얀
스티븐로드스 챠알스
제랄드제트.인
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Application granted granted Critical
Publication of KR100336914B1 publication Critical patent/KR100336914B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

반도체 처리 챔버 벽의 플라즈마 건식 세척 속도는 반도체 처리 동안 가공물에 의해 점유되어 있는 위치내에 비가스상 건식 세척 강화 재료를 놓으므로서 개선될 수 있다. 비가스상 건식 세척 강화 재료는 건식 세척 반응성 시료를 발생하든지 및/또는 처리 챔버에 공급되는 플라즈마 가스로부터 발생된 건식 세척 반응성 시료의 소모를 감소할 수 있다.
처리 챔버 비휘발성 오염 증착물이 처리 챔버내에 반응성 시료 발생 재료의 비가스상 재료를 놓으므로서 플라즈마 건식 세척을 하는 동안 플라즈마 처리 챔버로부터 제거될 때, 반응성 시료 발생 재료의 비가스상 재료는 가공물 지지대상에 또는 인접해서 위치설정시킬 필요가 없으며, 그러나, 이 위치 설정은 통상적인 처리 챔버 설계에서 우수한 세척 결과를 제공한다.

Description

비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응 시료를 발생할 수 있는 지를 결정하는 방법{A METHOD OF DETERMINING WHETHER A NON-GASEOUS MATERIAL IS CAPABLE OF GENERATING AT LEAST ONE VOLATILE}
본 발명은 플라즈마를 이용하여 반도체 처리 챔버를 세척하기 위한 방법에 관한 것으로, 보다 구체적으로 1994년 8월 9일자 출원한 특허 출원 제 1994-19563호의 분할 출원으로, 비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응 시료를 발생할 수 있는 지를 결정하는 방법에 관한 것이다.
반도체 처리에는 각기 다른 다수의 화학적인 처리 과정 및 물리적인 처리과정이 개입된다. 이러한 처리과정을 통하여 기판상에 정밀한 집적 회로들이 제작된다. 집적 회로들은 다양한 재료의 상호 연관 패턴을 갖는 다중층들을 사용하여 제작된다. 다양한 재료의 층들은 화학 기상 증착, 물리 기상 증착 및 에피택셜 성장에 의해서 만들어진다. 다중층들의 일부에는 포토레지스트 마스크, 습식 식각 기술 및 건식 식각 기술을 사용하여 패턴이 제공된다. 패턴들은 특정 위치들에서 도판트를 이식시킴으로써, 층들내에 만들어진다. 집적 회로가 형성되는 기판은 실리콘, 비소화 갈륨, 유리 또는 다른 적절한 재료로 만들어진다. 반도체 처리 반응로내에서 수행되는 많은 처리들은 처리챔버의 벽상에 오염 증착물을 남긴다. 오염 증착물들은 반도체 장치에 해를 끼치는 특정 물질의 소오스(source)가 된다. 반도체 장치의 치수가 점점 작아짐에 따라서, 반도체 가공물의 표면상에 있는 특정 물질은 보다 중요하게 된다.
반도체 처리 챔버 벽상에 쌓이는 오염 증착물은 금속 식각 처리가 챔버내에서 수행되는 경우에 특히 중요하게 될 수 있다. 특히, 알루미늄 패턴의 식각은 그와 같은 오염 증착물을 비교적 많이 축적시킨다. 예를 들면, 반도체 가공물의 표면상에서 알루미늄의 실험적인 플라즈마 식각을 수행하는 동안에, 바람직하지 않은 조건하에서 25개의 20.32㎝(8inch) 직경의 실리콘 웨이퍼 기판들을 식각하면 식각 처리 챔버의 벽에 약 1미크론 두께의 평균 오염 증착물 층이 만들어진다. 오염 증착물 두께는 활성 플라즈마와 접촉되어 있는 식각 챔버 벽 및 가스 분배판 상에서 최대가 된다. 식각챔버는 38.1㎝(15inch) 직경, 20.32㎝(8inch) 높이를 갖는다.
오염 증착물들은 플라즈마 개량 식각을 사용하는 건식 세척에 의해서 처리챔버의 벽 및 가스 분배판으로부터 제거될 수 있다. 또는 처리 챔버 표면은 개방되어 손으로 습식 세척될 수 있다. 처리 챔버 벽으로부터 오염 증착물들을 제거하기 위한 후자의 절차는 시간이 많이 걸린다.
1993년 5월 4일자로 창(chang) 등에게 허여된 미합중국 특허 제 5,207,836호에는 화학 기상 증착 장치로부터 증착물을 제거하기 위한 세척 공정이 개시되어 있다. 이 공정은 진공 증착챔버에서 서스셉터로부터 텅스텐이나 규화 텅스텐과 같은 증착물을 제거한다. 불소의 가스 소오스가 챔버의 플라즈마 세척에 사용된 후에, 증착챔버에 잔류하는 불소를 회피하기 위해서, 챔버로부터 잔여 불소를 제거하도록 수소의 가스 소오스가 챔버내로 공급되는다. 건식 세척을 위한 불소함유 가스는SF6, CF4, C2F6및 NF3을 포함한다. 불소의 가스 소오스는 추가로 아르곤, 네온 또는 헬륨과 같은 불활성 또는 비 반응성 가스를 포함한다.
1993년 4월 13일자로 차브래트(chavrat)등에게 허여된 미합중국 특허 제 5,202,291호에는 알루미늄 및 알루미늄 합금을 부등방성 반응성 이온 식각시키는 방법이 개시되어 있다. 플라즈마는 염화 가스 및 탄소함유 가스 혼합물로 구성된다. 염화 가스는 식각을 제공하며, 탄소 함유 가스는 알루미늄의 측벽을 따라서 차단 층(inhibiting layer)을 제공하도록 반응한다. 플라즈마 가스 혼합물은 염소 분자들에 대한 탄소 원자들의 비가 알루미늄 식각률에 있어서 뜻밖의 증가를 낳는다.
1992년 10월 27일자로 체웅(cheung) 등에게 허여된 미합중국 특허 제 5,158,644호에는 화학 기상 증착(CVD) 및 플라즈마 개량 화학 기상 증착(PECVD) 처리 챔버에 대한 반응로 챔버 자체 세척공정이 개시되어 있다. 세척공정은 챔버 부품들과 배출장치 부품들의 넓은 영역 뿐만 아니라 가스 분배 매니홀드 및 고주파(RF) 전극들의 국부적인 세척 모두에 사용될 수 있다. 자체 세척은 처리챔버가 비거나 또는 특정 경우에 있어서 기판의 처리중에 통합 단계로서 사용될 때 진행될 수 있다. 특히, 산화 규소와 같은 절연체 피복들이 반도체 웨이퍼상에 증착되고, 그 후에 자체 세척이 반응로 내에 여전히 반도체 웨이퍼를 존재시킨 상태에서 반응로내에서 이루어지는 공정이 기재되어 있다. 명백하게는, 자체 세척과정에 의해서 해를 입는 산화규소 이외의 반도체 웨이퍼 표면 조성물은 자체 세척 과정 동안에 처리 챔버내에 남겨질 수 있다.
넓은 영역 세척이 수행되는 경우, 약 5.5갤론(약 21리터)의 용적을 갖는 화학 기상 증착 처리챔버에 사용되는 처리 조건들은 약 300 내지 1,200 sccm 유량의 C2F6가스, 약 400 내지 950sccm 유량의 O2가스, 약 0.8 내지 2Torr의 압력, 약 1,000밀(mil)의 전극공간 및 약 2.7 내지 5.6와트/㎠의 고주파(RF) 전력 밀도를 포함한다. 좁은 영역이 세척되는 경우, 약 600 내지 950sccm 유량의 C2F6, 약 700 내지 1,000sccm 유량의 O2, 약 180 내지 350 밀의 전극공간, 약 6 내지 13Torr의 압력 및 약 2.7 내지 5.6와트/㎠의 전력밀도를 포함한다.
1992년 2월 4일자로 알.제이.스테거(R.J.Steger)에게 허여된 미합중국 특허 제 5,085,727호에는 개선된 플라즈마 식각장치가 개시되어 있는데, 이 장치는 전도성 피복으로 도포된 내부 금속면을 갖춘 식각챔버를 포함한다. 전도성 피복은 플라즈마 식각 처리중에 챔버내에서 사용되는 할로겐 함유가스와 같은 반응성 가스의 화학적인 공격으로부터 내부금속 표면을 보호할 수가 있다. 바람직한 실시예에서, 적어도 약 0.2 마이크로미터의 탄소 피복이 수소 또는 질소 또는 이들 모두와, 탄소의 소오스를 사용하여 플라즈마가 지원된 화학 기상 증착에 의해서 식각챔버의 내부금속 표면상에 형성된다. 전도성 피복재료는 탄소, 질화티타늄, 주석화인듐, 탄화규소, 탄화티타늄 및 탄화탄탈늄으로 구성되는 그룹으로부터 선택된 재료를 포함한다.
1988년 11월 22일자로 스타크(stark)등에게 허여된 미합중국 특허 제4,786,359호에는 CF3Br과 크세논 또는 크립톤을 포함하는 플라즈마 지원 가스 혼합물을 사용하여 실리콘 웨이퍼가 식각되는 플라즈마 식각 방법 및 장치가 개시되어 있다. CF3Br의 이용은 플라즈마 반응로에서 중합체 재료의 증착을 야기한다. 중합체 형성은 챔버의 전기적인 특성 뿐만아니라 챔버내에서 수행되는 처리의 화학 작용을 변화시킨다. 또한, 형성된 중합체 피복은 웨이퍼상에 입자 오염 증착물의 소오스가 된다. 이러한 문제를 풀기 위해서, 스타크(stark)등은 챔버내에 희생 구조물을 추가하였다. 즉, 반응로 챔버내에 중합체가 축적되는 것을 방지하기 위하여 식각 처리 동안 식각되는 구조물을 추가한다. 이 구조물은 탄소 함유 물체가 된다. 특히, 탄소 함유 재료는 유기체 화합물 또는 흑연이 된다. 고온 플라스틱들은 탄소함유 재료와 같이 적당하게 나타난다. 고온 플라스틱으로서 폴리아릴레이트는 폴리이미드보다 매우 빠르게 식각되므로, 폴리이미드가 사용하기에 바람직하다. 흑연, 흑연화합물 또는 흑연 피복 세라믹은 바람직한 탄소 함유 재료로서 제공된다.
플라즈마 식각과 플라즈마 중합화 사이의 상호관계와, 중합체에 이르는 플라즈마 - 표면 상호 작용의 중요성의 설명은 1981년 1월 /2월판 J.Vac.Sci.Telchnol. 18(1)에 "Plasma Polymerization of Fluorocarbons in RF Capacitively Coupled Diode System"라는 제목으로 이. 케이(E.Kay)와 에이. 딜크스(A.Dilks)에 의해 기재되어 있다. 플라즈마 식각에 있어서 불소와 염소를 함유하는 가스의 이용에 대해서는 1988년 3월, Semiconductor International의 부주필인 피터 에이치.싱거(Peter H. Singer)에 의해서 "Today's Plasma Etch Chemistries"라는 제목으로 발표된바 있다. 이러한 책자들은 가스 유량, 챔버 압력 및 온도, 플라즈마 에너지와 장치의 구성을 포함하는 공정 변수들의 주의 깊은 제어 뿐만 아니라 입력 가스 성분의 주의 깊은 선택이 성공적인 식각 화학작용을 발전시키는데 필수적임을 증명하였다. 통상적으로, 식각공정은 식각될 특정재료에 맞추어져야 한다 (공정 매개변수들은 특정 장치의 구성에서 예견가능한 범위내에서 조정된다).
미합중국 특허들중 일부에는 플라즈마를 사용하는 반도체 처리챔버의 건식 세척이 언급되어 있다. 다른 특허들 및 위에서 인용된 문헌들에는 가스 플라즈마 반응물내에 탄소함유 재료를 이용하여; 글로우 방전 조건하에서 식각된 알루미늄의 측벽을 중합화하여, 알루미늄 플라즈마 식각과정 동안에 알루미늄 측벽의 언더컷을 방지하고; 실리콘 웨이퍼의 식각동안에 플라즈마 챔버벽상에 중합체 재료가 축적되는 것을 방지하고; 그리고, 플라즈마 식각 처리 동안에 할로겐 함유 가스의 공격으로부터 챔버 벽을 보호하는 탄소 피복을 플라즈마 챔버의 벽에 제공하는 것이 기재되어 있다. 비록, 탄소 함유 재료의 기능적인 거동이 특허들에 기재된 설명으로 볼 때 어느 정도 일관성이 없지만, 탄소 함유 재료는 플라즈마 글로우 방전 조건하에서 반응하여 중합체와 같은 다양한 화합물을 형성한다. 이러한 화합물은 반도체 처리챔버내에서 수행되는 플라즈마가 지원된 공정내에서 다른 공정 변수들에 영향을 끼친다.
앞서 설명한 바와 같이, 반응로 챔버의 플라즈마 세척에 요구되는 시간을 줄이기 위한 노력들이 이루어져 왔다. 플라즈마 처리챔버의 벽에 증착된 오염 증착물의 축적은 플라즈마 처리 동안에 일정 정도 발생된다. 예를 들어 알루미늄의 염소기지 금속식각 동안에, 알루미늄은 염소 분자들 및 원자들과 반응하여 휘발성 염화 알루미늄을 형성하며, 이러한 금속 식각 부산물중 일부는 적용된 진공에 의해서 플라즈마 처리 챔버 밖으로 배출된다. 그러나, 염소중의 일부는 반응로 내에서 포토레지스트 및/또는 다른 유기체 소오스로부터 제공된 유기체 시료와 반응하여 비휘발성 재료를 형성한다. 기판의 개수가 증가함에 따라서, 처리챔버 벽상에 증착되는 오염 증착물들의 두께가 증가한다. 이 오염 증착물들은 처리 장치의 팽창 및 수축으로 인하여 벗겨지기 시작한다. 몇몇의 경우에는 습기와의 반응 때문에 벗겨진다. 오염 증착물들의 벗겨짐은 챔버내에서 처리될 기판의 오염을 낳는다.
플라즈마 처리 챔버 벽상에 증착된 오염 증착물은 이온 충돌 또는 화학 반응에 의해서 플라즈마 내에서 제거될 수 있다. 플라즈마 챔버 벽이 전기적으로 접지되기 때문에, 챔버 벽상으로의 이온 충돌(스퍼터링 효과)은 효과적이지 못하다. 화학 반응이 처리 챔버 표면을 세척하는데 바람직하다. 화학 반응을 사용하여 오염 증착물을 제거하기에 가장 바람직한 방법은 오염 증착물을 플라즈마 처리 챔버로부터 진공펌핑될 수 있는 휘발성 시료들로 전환시키는 것이다. 그러므로, 처리챔버의 표면상에 증착된 오염 증착물을 처리챔버로부터 쉽게 제거될 수 있는 휘발성 시료들로 전환시키는 플라즈마 처리챔버, 특히 금속 식각챔버의 건식 세척 방법을 제공하는 것이 바람직하다.
도 1은 반도체 기판의 식각에 사용되는 플라즈마 처리 챔버를 개략적으로 나타낸 도면.
도 2는 오염된 플라즈마 챔버를 플라즈마 세척하는 동안에 플라즈마 챔버 벽 근처의 휘발성 시료들에 대한 광학적인 방출 스펙트럼 데이터 곡선.
도 3은 오염된 플라즈마 챔버를 플라즈마 세척하는 동안에 플라즈마 챔버 벽 근처의 휘발성 시료들에 대한 광학적인 방출 스펙트럼 데이터 곡선.
도 4는 깨끗한 챔버를 플라즈마 세척하는 경우에 플라즈마 챔버 벽 근처의 증기 공간에 대한 광학적인 방출 스펙트럼 데이터 곡선.
도 5는 오염물이 증착된 챔버를 플라즈마 세척하는 경우에 플라즈마 챔버 벽 근처의 증기 공간에 대한 광학적인 방출 스펙트럼 데이터 곡선.
* 도면의 주요 부분에 대한 부호의 설명 *
100 : 식각챔버 102 : 플라즈마 챔버
104 : 기판 106 : 가스 분배판
108 : 개구부 110 : 리드
118, 126, 128 : 도관 120 : 기판 지지대
122 : 불활성 가스 130, 132 : 시편
303, 304, 305, 401, 402, 503, 504, 505 : 피크
본 발명에 따르면, 플라즈마 건식 세척동안에 비가스상 소오스를 반응성 시료 발생 재료로 교체함으로써 플라즈마 건식 세척 동안 비휘발성 오염 증착물을 플라즈마 처리 챔버로부터 제거한다. 비가스상 반응성 시료 발생 재료의 소오스(source)는 챔버내에 존재하고, 플라즈마 건식 세척중에 외부 소오스로부터 챔버로 추가되는 것보다 더 오랜 시간에 걸쳐 소모된다. 챔버내에 비가스상 반응성 시료 발생 재료가 존재함으로써, 세척에 사용되는 반응성 시료들을 보다 급속하게 발생시킬 수 있다. 반응성 시료들은 적절한 플라즈마에 상기 소오스를 노출시킬 때 발생한다. 바람직하게도, 반응성 시료 발생 재료의 소오스는 디스크 또는 직사각형 형상의 시이트 형태를 취하며, 플라즈마 건식 세척 동안, 반도체 처리중에 가공물에 의해서 통상적으로 점유되는 공간을 차지한다.
비가스상 반응성 시료 발생 소오스는 재료의 단단한 디스크나 시이트일 수 있으며; 여기에 반응성 시료 발생재료의 피복을 갖는 기판일 수 있으며; 또는 단단한 지지 구조물내에 포함된 액체일 수 있다.
비가스상 반응성 시료 발생 재료는 적어도 하나의 성분으로 구성되는데, 이 성분은 플라즈마 건식 세척에 사용되는 처리 조건 하에서 휘발성의 반응성 시료가 되어 적어도 하나의 오염 증착물과 작용하는 플라즈마 처리 챔버의 표면으로 도입됨으로써 휘발성 성분을 만든다. 휘발성 성분은 오염 증착물과 물리적으로 접촉할 때 부과되는 에너지 또는 반응에 의해서 발생된다.
알루미늄의 플라즈마 식각이 플라즈마 처리챔버내에서 수행되는 경우, 챔버벽상에서 발견되는 비휘발성 오염 증착물들중 적어도 일부분은 AlxCly의 형태를 이룬다. 여기에서 X와 Y는 약 1 내지 5 사이의 숫자이다. 일반적으로 비휘발성의 오염 증착물은 식각챔버내에서 플라즈마 식각동안에 예를 들어 탄소, 보론, 질소 및 수소와 같은 여러 요소들의 존재로 인해 형성된 중합체 재료들은 포함한다.
이 실시예에서 사용된 비가스상 반응성 시료 발생 재료는 플라즈마 처리 챔버 표면으로 도입될 수 있는 휘발성의 반응성 시료들을 발생시킬 수 있어야 한다. 처리 챔버 표면에서, 반응성 시료들은 오염 증착물과 작용해서 비휘발성 알루미늄 함유 화합물과 같은 이전의 비휘발성의 알루미늄 함유 화합물을 예들 들어, 휘발성 화합물로 전환시킨다. 이러한 비휘발성 화합물은 플라즈마 건식 세척 처리 조건하에서 휘발성 화합물로 전환된다.
유기체의 탄소함유 화합물이 플라즈마 노출시에, 처리 챔버 표면으로 이동될 수 있고 비휘발성 알루미늄 함유 화합물을 휘발성 알루미늄 함유 화합물로 전환될 수 있는 휘발성의 반응성 시료를 발생시킬 수 있다는 것은 발견되어져 있다. 예를 들면, 포토레지스트 조성물과 같은 중합체 재료는 플라즈마 세척에 사용되는 종류의 플라즈마 조건하에서 휘발성 있는 반응성 시료로 전환될 수 있다. 플라즈마 건식 세척에 사용될 수 있는 휘발성 시료를 형성하기 위한 다른 탄소함유재료들은 이에 제한되지 않지만 출발 재료로서 CHF3을 이용하여 발생된 중합체, KaptonR타입 100HN 필름, 듀폰(DuPont)사에 의해서 시판되는 폴리이미드를 포함할 수 있다.
종래에는, 오염된 금속 식각 챔버의 플라즈마 건조 세척은 너무 느려서 다른세척 방법들이 사용되었다. 본 발명은 비휘발성 오염물이 증착된 반도체 처리챔버내에서 표면들을 신속하게 플라즈마 건식 세척시키는 방법을 제공한다. 본 발명에 따른 방법은 (a) 하나 이상의 완벽한 플라즈마 세척 작동을 위해서 반응성 시료 발생을 제공할 수 있는 반응성 시료 발생 재료의 비가스상 소오스를 상기 반도체 처리 챔버 내에 위치시키는 단계와, (b) 상기 반응성 시료 발생 재료의 비가스상 소오스를 상기 처리챔버내로 공급되는 가스로부터 발생되는 플라즈마에 노출시켜, 휘발성 반응 시료를 발생시키는 단계와, 그리고 (c) 상기 비가스상 소오스로부터 상기 단계(b)에서 발생된 상기 휘발성 반응 시료들을 더 포함하는 플라즈마에 상기 반도체 처리 챔버의 표면들을 접촉시켜서, 상기 표면들 상에 남아있는 상기 비휘발성 오염 증착물들중 적어도 일부분을 상기 휘발성 시료를 포함하는 상기 플라즈마에 의해 휘발성 분자들로 전환시키는 단계로 구성된다.
바람직하게는, 처리 챔버로 공급되는 가스로부터 발생된 상기 단계(b) 플라즈마는 휘발성 화합물을 만들어내기 위해서, 처리챔버 표면상에 있는 오염물들의 적어도 일부분에 작용될 수 있다.
본 발명에 따른 방법은 또한 다른 관점에서, 비휘발성 오염물이 증착된 반도체 처리챔버 내의 표면들의 플라즈마 세척 방법으로서, (a) 상기 반도체 처리 챔버로부터 어떠한 현존 반도체 가공물도 제거하는 단계와, (b) 상기 처리 챔버내의 상기 가공물의 처리 동안 가공물에 의해 점유되어진 위치에, 반응성 시료 발생 재료의 비가스상 소오스를 위치시키는 단계와, (c) 상기 반응성 시료 발생 재료의 비가스상 소오스를 상기 처리챔버내로 공급되는 가스로부터 발생되는 플라즈마에 노출시켜, 휘발성 반응 시료를 발생시키는 단계와, 그리고 (d) 상기 비가스상 소오스로부터 상기 단계(c)에서 발생된 상기 휘발성 반응 시료들을 더 포함하는 플라즈마에 상기 반도체 처리 챔버의 표면들을 접촉시켜서, 상기 표면들 상에 남아있는 상기 비휘발성 오염 증착물들중 적어도 일부분을 휘발성 분자들로 전환시키는 단계로 구성된다.
종래에는 처리챔버내로 공급되는 가스로부터 발생하는 플라즈마를 챔버 세척에 사용되는 반응성 시료의 유일한 소오스로서 사용하여 깨끗한 플라즈마 챔버를 건식 세척시키려는 시도가 있었다. 건식 세척이 진행되는 경우, 반응성 시료로부터 기판(가공물) 지지대(그 아래놓인 기능적인 요소들)를 보호하는 것이 필요하다. 반도체 웨이퍼 가공물의 경우에는, 보호를 위해서, 가공되지 않은(피복되지 않고 처리되지 않은) 실리콘 웨이퍼가 가공물 지지대를 보호하는데 사용되었다. 앞서 언급한 바와 같이, 세척 과정은 너무 느려서 비 실제적이다. 만약, 가공물 지지대를 보호하는데 사용된 실리콘 웨이퍼가 KaptonR과 같은 중합체 필름 또는 포토레지스트로 피복되면, 처리 챔버 벽의 건식 세척은 처리챔버내로 공급되는 가스로부터 나오는 플라즈마 발생 반응성 시료를 사용하여 처리 챔버 벽의 플라즈마 세척이 실제적으로 이루어질 때까지 가속화된다. 본 출원인들은 세척속도에 있어서의 개선은 실리콘 웨이퍼에 도포된 피복으로부터의 반응성 시료의 발생 및/또는 피복된 실리콘 웨이퍼 표면에서 가스 공급 플라즈마 발생된 반응성 시료의 감소된 소비에 기여할 것으로 믿는다.
그러므로, 반도체 처리챔버 벽의 플라즈마 세척 속도는 가공물 지지대를 보호하도록 적당한 재료를 사용함으로써 개선되고, 세척과정을 지원할 수 있는 반응성 시료의 발생을 가능하게 하는 가공물 지지대를 보호하기 위해서 보호 재료가 사용되는 경우에 더욱 개선된다. 오염 증착물이 금속원자를 포함하는 경우, 가공물 지지대를 보호하는데 사용된 (바람직하게는 반응성 시료들을 발생시키도록 사용됨) 재료는 탄소 함유 재료가 바람직하다. 오염 증착물이 알루미늄을 포함하는 경우, 탄소 함유 재료는 중합체 재료로 이루어진다.
본 발명은 또한 비가스상 재료가 비휘발성 반도체 처리 챔버 오염물상에 작용하여 상기 비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응 시료를 발생할 수 있는 지를 결정하는 방법에 관한 것이다. 이 방법은 (a) 반응성 시료 발생 재료의 비가스상 소오스를 제공하는 단계와, (b) 상기 비휘발성 반도체 처리 챔버 오염물을 나타내는 하나 이상의 표면을 포함하는 반도체 처리 챔버내의 적절한 평가 위치내에 상기 반응성 시료 발생 재료의 비가스상 소오스를 위치시키는 단계와, (c) 상기 반응성 시료 발생 재료의 비가스상 소오스를 상기 처리 챔버로 공급되는 가스로부터 발생된 플라즈마에 노출시켜서, 휘발성 반응 시료를 발생하는 단계와, (d) 상기 비휘발성 오염물을 나타내는 상기 하나 이상의 표면에 근처의 휘발성 분자를 모니터하기 위하여 광학 방출 스펙트럼 기술을 사용하는 단계로 구성된다.
본 발명은 또한 비가스상 재료가 반도체 처리 챔버 벽상에 플라즈마 건식 세척 활동도를 향상할 수 있는 지를 결정하는 방법에 관한 것으로, 이 방법은 (a) 반도체 처리 챔버내에서 사용될 수 있는 비가스상 건조 세척 향상 재료를 제공하는단계와, (b) 상기 비휘발성 오염물을 나타내는 하나 이상의 표면을 포함하는 반도체 처리 챔버내의 적절한 평가 위치내에 상기 비가스상 건조 세척 향상 재료를 위치시키는 단계와, (c) 상기 비가스상 건조 세척 향상 재료를 상기 처리 챔버로 공급되는 가스로부터 발생된 플라즈마에 노출시키는 단계와, (d) 상기 비휘발성 오염물을 나타내는 상기 하나 이상의 표면에 근처의 휘발성 분자를 모니터하기 위하여 광학 방출 스펙트럼 기술을 사용하는 단계로 구성된다.
이 방법은 플라즈마 세척과정 동안에 챔버 표면에 인접한 가스 성분에 대한 데이터를 모을 수 있다. 이 데이터는 표준 가스 성분과 비교될 수 있다. 즉, 챔버 표면이 비휘발성 오염 증착물을 방출한 경우에 챔버표면에서 발생된 휘발성 성분들의 종류를 나타내는 표준 가스 성분과 비교될 수 있다. 휘발성 오염 화합물에 대해서 광학 방출 스펙트럼 표시가 높으면 높을수록, 지지대 보호재료 및/또는 반응성 시료 발생 소오스는 보다 더 기능적으로 나타낸다.
본 발명은 또한 반도체 처리 챔버의 표면으로부터 금속 함유 오염 증착물의 제거에 이용할 수 있는 반응성 시료 발생재료의 소오스 물질의 조성물에 관한 것이다. 이 조성물은 약 25℃의 온도와 대기압의 표준조건하에서 액체 또는 고체이고, 플라즈마에 노출될 때 휘발성의 반응성 시료를 발생시킬 수 있는 탄소 함유 재료이며, 휘발성 반응 시료는 비휘발성의 유기 금속 화합물로 작용하여 이들중 적어도 일부분을 휘발성으로 한다. 바람직하게도, 반응성 시료 발생 재료의 소오스는 단단한 디스크 또는 시이트이거나, 그렇지 않으면 단단한 디스크 또는 시이트에 도포된 피복이다.
지지대 보호재료의 성분은 상기한 표준 조건하에서 액체 또는 고체가 될 수 있다. 그러나, 반응성 시료를 발생시킬 필요는 없다. 물론, 챔버벽 세척에 이용될 수 있는 반응성 시료를 발생시킬 수 있는 보호 재료가 바람직하다. 이하, 첨부된 도면들을 참조하여 본 발명을 보다 상세히 설명하면 다음과 같다.
도 2에 있어서, 플라즈마는 80sccm의 BCl3, 80sccm의 Cl2, 800W전력, 600mT 압력 및 약 80℃의 음극 온도 조건에서 발생된다. SiO2코팅된 웨이퍼는 기판 지지대를 보호하는데 사용된다. 이 곡선의 수평축은 스펙트럼의 파장(단위:nm)을 나타내며, 수직축은 기구 세팅에 대한 민감도를 퍼센트로 나타낸다.
도 3에 있어서, 플라즈마는 도 2의 조건과 동일한 조건하에서 발생된다. 그러나, 잠재적인 반응성 시료 발생 재료, 포토레지스트(시플리(Shipley))1400-33aR) 피복된 실리콘 웨이퍼가 기판 지지대를 보호하는데 사용되었다. 이 곡선의 수평축은 스펙트럼 파장(단위:nm)을 나타내며, 수직축은 기구 세팅에 대한 민감도를 퍼센트로 나타낸 것이다.
도 4에 있어서, 플라즈마는 약 200sccm에 달하는 유량의 Cl2, 800W의 전력, 약 500mT의 압력 및 약 80℃의 온도 조건하에서 발생된다. 가공물 지지대는 반응성 시료 발생 재료의 잠재적인 소오스 및 20.32cm(8inch)직경의 포토레지스트-피복 실리콘 웨이퍼를 사용하여 보호된다. 이 곡선의 수평축은 스펙트럼 파장을 나타내며, 수직축은 기구 세팅의 민감도를 퍼센트로 나타낸 것이다.
도 5에 있어서, 플라즈마는 도 4에서와 같은 조건하에서 발생되며, 가공물지지대는 동일한 잠재적인 반응성 재료를 사용하여 보호된다. 이 곡선의 수평축은 파장(단위;nm)이고, 수직축은 기구세팅의 민감도를 퍼센트로 나타낸 것이다.
본 발명은 플라즈마를 이용하여 반도체 처리 챔버를 "건식(dry)" 세척시키기 위한 방법 및 장치에 관한 것이며, 또한 이때 유용하게 사용될 수 있는 조성물에 관한 것이다. 본 발명은 특히 금속 함유 분자들을 포함하는 오염 증착물들에 의해서 덮혀진 표면들을 갖춘 처리챔버를 세척하는데 유용하다. 바람직한 실시예에서 설명되는 처리는 유기 금속 오염 증착물, 특히 알루미늄 및 알루미늄 합금을 포함하는 유기 금속 재료의 제거에 관한 것이다.
본 발명의 개념은 1)먼저, 처리챔버 표면으로부터의 오염 증착물 제거를 개선시키기 위해서, 플라즈마와 접촉함으로써 활성화되어지는, 처리챔버내에서 반응성 시료 발생재료의 비휘발성 소오스를 사용한다. 2) 다음으로, 일반적으로 반도체 처리 챔버에 적용가능한, 처리 챔버에서의 반응성 플라즈마 시료의 세척 속도를 향상시키는 가공물 지지대 보호재료를 사용한다. 오염 증착물의 제거는 화학 기상 증착, 물리 기상 증착, 에피택셜 성장, 도판트 이식에 사용되는 챔버 뿐만아니라, 금속 식각에 사용되는 챔버들에 있어서 매우 중요하다.
챔버벽 오염 증착물이 하기의 바람직한 실시예에 기재된 종류가 아닌 경우, 반응성 시료 발생 재료의 소오스는 적당한 반응성 시료를 발생시키도록 조정되어야 한다. 이것은 상기 본 발명의 요약에 기재된 잠재적인 반응성 시료 발생 소오스를 측정하는 방법을 사용하여 최소 경비로 달성될 수 있다. 이 방법은 하기에서 보다 상세하게 설명된다.
금속 함유 재료들의 플라즈마 식각은 처리챔버의 벽상에 다수의 고체 비휘발성 오염 증착물을 증착시킨다. 본 발명 이전에 공지된 플라즈마 건식 세척 기술은 비휘발성 오염 증착물의 제거에 효과적이지 못하다. 챔버의 세척은 챔버에 대하여 유용한 가공물 처리 시간의 약 5% 내지 10%되는 시간이 필요하다. 또한, 챔버벽은 플라즈마 세척 후에 소정 수준의 잔여 오염 증착물을 보유한다. 일단, 반도체 처리가 다시 시작되면, 추가의 오염물이 급속하게 증가할 수 있다.
플라즈마가 지원된 알루미늄 식각 화학작용은 예를 들어 BCl3, Cl2및 N2를 포함하는 처리 가스를 이용한다. 염소 기지 알루미늄 식각 과정 동안, 기판상의 알루미늄은 염소원자와 반응하며, 휘발성 염화 알루미늄 함유 분자들을 형성하도록 염소 함유 분자들과 반응할 수 있다. 이러한 식각 부산물중 일부는 챔버로부터 배출되고, 다른 일부분은 처리챔버내에서 비휘발성 재료들을 형성하도록 패턴 제공 포토레지스트로부터 나오는 유기 시료 또는 다른 반응성 시료들과 반응하거나 연관된다. 식각 부산물의 많은 부분들은 처리 챔버 표면상에 증착된다. 이러한 종류의 오염 증착물에 대한 통상적인 조성 데이터는 하기에 설명한 바와 같이 결정된다.
제 1 실시예
이 실시예는 식각되는 가공물이 알루미늄 층과 겹쳐진 실리콘 웨이퍼인 경우, 금속 식각 처리 챔버의 표면상에 형성된 오염 증착물의 일반적인 조성을 설명한다. 실리콘 웨이퍼는 디아조 퀴논 반응촉진제를 갖춘 페놀포름알데히드노발락(novalak) 수지를 포함하는 패턴화된 포토레지스트로 더 겹쳐져 있다. 식각 플라즈마는 BCl3, Cl2및 N2로부터 형성되는데, 이들 가스는 약 50sccm로 유동한다. 적용된 전력은 약 500 내지 800 와트(W)이고, 처리 챔버 압력은 200 내지 600mT이며, 작동 음극온도는 약 80℃이다. 반면에, 챔버벽 온도는 약 45℃이다.
도 1에는 식각 챔버(100)가 개략적으로 도시되어 있다. 식각챔버(100)는 가공물인 기판(104)이 처리되는 플라즈마 챔버(102)를 갖추고 있다. 식각 가스는 가스 분배판(106)의 개구부(108)를 통해서 플라즈마 챔버(102)로 들어간다. 가스 분배판(106)은 식각챔버(100)의 리드(110)에 부착된다. 식각챔버(100)로 들어가는 고주파(RF) 전하가 위치(104)에서 작용함으로써, 플라즈마 챔버(102)의 구역(112)에서 플라즈마가 발생된다. 플라즈마 구역(112)의 외부 경계(116)는 식각챔버(100)의 작동 매개변수(앞서 언급한 바 있음)에 의존한다. 식각 가스는 진공(도시되지 않음)을 가함에 따라 도관(118)에 통해서 플라즈마 챔버(102)를 빠져나간다. 기판(104)은 처리중에 가열되고 냉각될 수 있다. 기판(104)은 기판 지지대(120)를 가열하고 기판(104) 하부면과 기판 지지대(120)의 상부면(124) 사이에 열 전도성 불활성 가스(122)를 통과시킴으로써 가열된다. 기판(104)은 기판 지지대(120)에 인접한 도관을 통해서 냉각수를 통과시킴으로써 냉각된다. 냉각수는 도관(126)을 통해서 유입되고, 도관(128)을 통해서 배출된다. 플라즈마 챔버(102) 표면상에 축적되는 오염 증착물을 측정하기 위해서, 플라즈마 챔버(102) 벽의 지역에 시편(130)이 부착되어 있고, 가스 분배판(106) 근처의 지역에 시편(132)이 부착되어 있다.
오염 증착물 성분들에 관한 데이터는 도 1의 시편(130)의 및 (132)에 대한 위치에서 수집된다. 오염 증착물 조성은 위에서 언급한 바와 같이 준비되었다. 데이터를 통해서, 약 10 내지 30% 알루미늄, 약 2 내지 4% 규소, 약 1 내지 4% 보론, 약 8 내지 20% 염소, 약 7 내지 40% 탄소, 약 3 내지 40% 질소, 약 20 내지 40% 산소 및 그 나머지의 다른 원소들이 존재함을 알 수 있다 (검출된 원소들을 원자 퍼센트 단위로 표시함). 측정된 산소중 일부는 처리 챔버의 개방시 축적된 오염 증착물의 표면과 산소를 접촉시킨 결과로서 생긴 것이다.
플라즈마 챔버(102)내의 벽지역에 인접하여 부착된 시편(130)으로부터 얻은 통상적인 오염 증착물에 관한 결합 에너지 및 원자 퍼센트가 다음의 표 1과 같이 표시된다.
표 1
정밀 분석 ESCA 데이터; 결합 에너지, 원자 퍼센트 및 피크 할당. (결합에너지는 284.6mV에서 -(CH2)n-신호의 결합에너지로 보정됨. 원자 퍼센트는 정밀 분석 데이터로부터 계산됨. 피크 할당은 참조 화합물의 결합 에너지를 기초로함)
샘플보기 Al1 Si1 B1 Cl1 Cl2 *Cl3 C1 C2 C3 N1 N2 N3 O1 O2 F1
패턴 웨이퍼, 60℃에서 식각함, 챔버벽으로부터 오염 증착물 긁어냄
결합에너지(eV) 75 192 198 201 285 286 288 399 400 531 533 639
원자 퍼센트 7 1 3 5 38 11 7 2 3 11 12 1
피크 할당 :Al1=Al2O3, AlxOySi1=SiO2B1=BxOy
Cl1=Cl-Cl2=Cl-Cl3=C-Cl
C1=C-R C3=C―OR, C―Cl C3=C―C―OR
N1=NR3N2=NR3N3=NR3
O1=금속산화물, C=O, C―O O2=C=O, C―O F1=C―F
가스 분배판(106)의 (식각 처리될 기판의 바로 위에 위치함)의 양극 알루미늄 표면에 인접하여 부착된 시편(132)으로부터 취한 통상적인 오염 증착물의 결합에너지 및 원자 퍼센트가 다음의 표 2에서와 같이 표시된다. 오염 증착물 조성은 위에서 언급한 바와 같이 준비된다.
또한, 분석을 위해서 오염 증착물들을 긁어내기 전에, O2/SF6플라즈마를 사용하여 가스 분배판(106)의 오염 증착물을 세척하는 작업을 수행하였다. 세척 플라즈마는 25sccm SF6, 250 sccm O2, 800W의 전력, 200mT의 압력하에서 발생된다. 이때, 챔버 벽 표면의 온도는 약 65℃이다. 세척 과정은 오염 증착물을 제거하는데 별도움을 주지 못한다. 실제로, 표 1의 데이터와 표 2의 데이터를 비교해 보면, 불소 함유 플라즈마 세척 가스가 사용되는 경우, 비휘발성의 불화 알루미늄 화합물이 처리챔버 표면상에 축적된다. 그 결과, 불소 함유 세척가스가 사용되는 경우, 처리챔버 표면상에 증착된 오염 증착물들은 높은 알루미늄 함량을 갖는다.
표 2
정밀 분석 ESCA 데이터; 결합 에너지, 원자 퍼센트 및 피크 할당. (결합에너지는 286.mV에서 -(CH2)n-신호의 결합에너지로 보정됨. 원자 퍼센트는 정밀 분석데이터로부터 계산됨. 피크 할당은 참조 화합물의 결합에너지를 기초로함)
샘플보기 Al1 S1 C1 C2 C3 N1 N2 O1 O2 F1 F2
패턴 웨이퍼, 60℃에서 식각함. 다음에 O2/SF6플라즈마를 이용한 챔버 건조세척 수행
결합에너지(eV) 76 170 285 286 289 400 402 533 534 485 687
원자퍼센트 19 0.8 14 4 3 1 1 5 3 11 35
피크할당: Al1=ALFxS1=SOxC1=C-R(R=C,B)
C2=C―OR1,C―R C3=O=C-OR N1=NR3
N2=N―R4 +O1=C=O O2=C-O
F1=ionic F F2=ionic F
알루미늄 결합 구조는 알루미늄을 함유하는 식각 부산물의 적어도 일부분이 식각 도중에 유기시료와 복잡한 유기금속 반응을 거치지 않게 한다. 염화 알루미늄 분자와 많은 유기적인 분자들의 이중 쌍극자 모멘트는 높다(전자들의 불균등한 분포때문임). 염화 알루미늄 분자들은 반데르 발스힘이나 다이폴간의 상호작용에 의해서 유기체 시료에 고정된다. 처리챔버의 표면으로부터 알루미늄 함유 오염 증착물을 제거하기 위해서는 반데르 발스 힘이나 다이폴간의 상호작용에 의해서 분쇄될 수 있는 반응성 시료에 염화 알루미늄/유기체 시료를 접촉시키는 것이 필요하다.
처리 챔버 표면으로부터 오염 증착물을 제거하는데 사용되는 반응성 시료들의 양은 최상의 세척 결과를 얻는데 있어서 극히 중요하다. 예를 들면, 오염 증착물을 형성하는 알루미늄-함유 화합물 상에서 공유 결합을 반응적으로 공격하여 깨뜨리고 결합력을 분쇄하기에 충분한 반응성 시료들을 갖게 하는 것이 바람직하다. 그러나, 다른 비휘발성의 알루미늄 함유 화합물이 형성된 반응성 시료들은 바람직하지 않다.
제 2 실시예
알루미늄 식각 처리 챔버에 대한 개선된 플라즈마 건조 세척 방법을 개발하는 동안에, 산소 기지 화학약품 및 염소기지 화학 약품을 사용하는 두 가지 종류의 건조 세척 플라즈마가 검토되었다. 예를 들면, O2와 SF6을 포함하는 세척 플라즈마가 만들어졌다. 비록, 오염 증착물들이 처리챔버내의 소정 위치들로부터 제거되었지만, 이러한 산소-불소 기지 화학약품을 사용하여 얻은 결과는 염소 기지 화학약품을 사용하여 얻은 결과 만큼 훌륭하지 않다. 결정은 플라즈마 챔버내에서 위치된 반응성 시료 발생 재료의 소오스와 조합하여 사용된 염소 함유 가스와 질소로부터 발생된 플라즈마의 이용에 초점을 맞추어 이루어진다.
이 실시예는 식각 플라즈마 챔버의 개선된 건조 세척을 달성하기 위해서 플라즈마 발생가스의 적당한 화학적 조성, 처리 챔버 압력 및 고주파(RF)전력을 선택하는데 사용된 기술들을 설명한다. (약 65℃의 일정한 작동 벽온도가 유지되었다). 알루미늄 식각 챔버내의 가공물 지지대는 20.32㎝(8inch) 직경의 실리콘 웨이퍼인 반응성 시료 발생재료의 잠재적인 소오스를 사용하여 보호된다. 이 실리콘 웨이퍼는 시플리(shipley) 1400-33R포토레지스트로 피복된 상부 노출 표면을 갖는다. 상기 포토레지스트는 스핀(Spin) 및 베이크(bake) 전에 33 퍼센트의 고체로 구성되며, 베이크 동안에 제거되는 적어도 98퍼센트의 용매 캐리어를 갖는다. 포토레지스트 수지는 노발락(novalac) 페놀 포름알데히드이며, 반응 촉진제는 디아조 퀴논이다. 용매는 셀로솔브 아세테이트(cellosolve acetate) (80%), 엔-부틸 아세테이트(10%) 및 크실렌(10%)이다.
다음의 표 3에는 건조 세척 과정중에 여러 가지 매개 변수를 변화시킨 결과나 나타나 있다. 이러한 조사는 깨끗한 플라즈마 챔버를 사용하여 이루어졌다. 그러므로, 플라즈마 챔버 표면상에 오염 증착물의 축적을 야기할 수 있는 공정매개변수들이 분명하게 밝혀졌다. 이에 따라, 이와 같은 공정 매개 변수들은 식각챔버의 플라즈마 건조 세척과정 동안에 회피될 수 있다.
만약, 식각 챔버의 창을 통해서 중합체 증착물이 발견되면, 세척공정은 10분 후에 종료된다. 만일, 중합체 증착물이 발견되지 않으면, 세척공정은 15분 후에 종료된다.
표 3
BCl3(sccm) Cl2(sccm) N2(sccm) 전력(W) 압력(mT) 리드상의중합체 몸체상의중합체 키트상의중합체
0 200 0 800 600 없음 없음 없음
0 80 0 800 200 없음 초경량1 없음
0 200 0 500 50 없음 없음 경량
50 50 50 800 200 고중량2 고중량2 고중량2
50 50 50 800 600 고중량2 고중량2 고중량2
50 50 0 800 200 경량3 경량3 경량3
50 50 0 800 600 없음 없음 없음
0 50 50 800 200 경량4 경량3 경량4
0 80 20 800 200 경량4 경량4 경량4
0 200 20 800 600 없음 없음 없음
0 200 20 500 50 없음 없음 경량
1챔버벽상에 증착된 재료는 관찰할 수 없음. 증착물의 존재는 챔버를 물로 씻어냄으로써 밝혀짐. 젖은 세척천상에 약간의 황색 얼룩이 발견됨.
2증착된 재료는 투명한 색상을 가지며, 벗겨지지 않음. 중합체는 물세척에 의하여 제거될 수 있음.
3증착된 재료는 백색 또는 갈색을 나타내며, 물세척 또는 이소프로필 알콜 세척에 의하여 제거될 수 있음. 중합체는 이소프로필 알콜에서 보다 잘 용해됨.
4증착된 재료는 물이나 이소프로필을 이용한 세척에 의해서 제거될 수 있음.
* 세라믹 삽입재(키트)는 챔버 벽 일부 표면에 걸쳐서 사용됨.
표 3에 표시된 데이터를 기초로 하면, 가공물 지지대 보호재료(그리고 반응성 시료 발생 재료의 잠재적인 내부 소오스)와 조합하여 염소 및 질소로부터 발생된 플라즈마를 사용하는 것이 바람직하다.
일반적으로, 염소로부터 발생된 플라즈마는 중합체를 플라즈마 챔버 벽에 증착시키지 않는다. 만약, BCl3과 N2모두를 Cl2와 조합하여 사용하면, 오염 증착물들은 무거워질 수 있다. 플라즈마가 Cl2/BCl3또는 Cl2/N2로부터 발생되는 경우, 오염 증착은 낮은 작동압력(약 200mT)에서 일어난다.
제 3 실시예
가공물 지지대를 보호하기 위해 사용된 실리콘 웨이퍼상의 피복을 변화시킴에 따라 나타나는 효과를 평가하였다. 포토레지스트 피복(시플리(shipley) 1400-33R) 실리콘 웨이퍼가 존재하는 경우, 처리챔버내에서 실리콘 이중산화물 피복된 실리콘 웨이퍼가 느리게 세척된다. 또한, 도 1에 도시된 처리챔버(100)의 가스 분배판(106)을 참고하면, 동일한 플라즈마 처리 조건 및 동일한 세척 시간 하에서 플라즈마 세척중에 20.32㎝(8inch) 직경의 깨끗한 가스 분배판상에 포토레지스트 피복 실리콘 웨이퍼가 존재하며, 반면에 12.7㎝(5inch) 직경의 깨끗한 가스 분배판 상에 SiO2피복 실리콘 웨이퍼가 존재한다. 특히, 사용된 작동조건은 80sccm의 BCl3, 80sccm의 Cl2, 800W의 전력, 600mT의 압력 및 약 65℃의 벽 작동온도이다.
처리 챔버를 플라즈마 세척하는 동안에 실리콘 웨이퍼가 중합체로부터 파생된 CHF3또는 KaptonR타입 100HN 폴리아미드 필름(1밀)으로 피복되는 경우, 세척 과정의 향상이 관찰된다. 비록, 하나의 특정한 폴리이미드 필름이 고려되었지만,유사한 성분 및 분자질량을 갖는 다른 폴리이미드 필름도 동일하게 기능할 수 있다. 타입 100HN 폴리이미드 필름은 360℃ 내지 410℃의 2차 유리 천이 온도를 나타내며, 한계 산소 지표는 ASTM D-2863-77당 37%이다.
제 4 실시예
여러 가지 잠재적인 반응성 시료 발생 소오스의 상대적인 효율을 측정하기 위해서, 시험 방법들이 개발되었다. 이 방법들에 따르면 세척중에 처리 챔버 벽을 떠나는 휘발성 금속 함유물을 측정할 수 있다. 또한, 해당 기술분야의 숙련된 당업자로 하여금 특정의 반응성 시료 발생 소오스가 바람직한 세척결과를 만들어낼지 여부를 최소 실험으로 결정할 수 있게 한다.
각각의 잠재적인 반응성 시료 발생 재료를 평가하기 전에, 손 세척(습식 용제로 닦아냄)된 처리챔버는 이에 의해 앞서 언급한 종류의 오염물을 축적시키는, 24 알루미늄 패턴 기판을 표준 알루미늄 식각처리를 사용하여 식각하는데 사용되었다. 오염물이 증착된 챔버를 준비한 후에, FTIR(Fourier Transfer Infrared Spectra)와 같은 광학 방출 스펙트럼 데이터가 다양한 세척 처리들을 평가하기 위해서 공지된 기술을 사용하여 수집될 수 있다.
도 2에는 오염된 플라즈마 챔버의 플라즈마 건조 세척동안에 처리 챔버 벽 근처의 휘발성 시료들에 대한 광학 방출 스펙트럼 데이터 곡선이 도시되어 있다. 플라즈마는 80sccmBCl3, 80sccmCl2, 800W전력, 600mT압력 및 약 65℃의 벽 작동온도를 사용하여 발생되었다. 반응성 시료 발생 재료의 내부 소오스는 SiO2로 피복된실리콘 웨이퍼이다. 도 3에는 플라즈마가 동일한 조건들 하에서 발생된 경우, 오염된 플라즈마 챔버의 플라즈마 건조 세척 동안에 처리 챔버벽 근처의 휘발성 시료들에 대한 광학 방출 스펙트럼 데이터가 도시되어 있다. 그러나, 반응성 시료 발생 재료는 포토레지스트 (시플리(shipley) 1400-33R) 피복된 실리콘 웨이퍼이다.
도 3을 도 2에 비교하면, 포토레지스트 피복된 실리콘 웨이퍼가 반응성 시료 발생 재료로서 사용되는 경우에, 스펙트럼 피크에 있어서 상당한 증가가 있었다. 이것은 제 3 실시예의 결과를 보여준다. 플라즈마 챔버 표면의 급속한 세척은 포토레지스트 피복된 실리콘 웨이퍼가 사용되는 경우에 진행된다.
도 3을 참조하면, 주요 피크(303, 304, 305)들은 휘발성 CN-함유 시료들로 판단된다. 이것은 플라즈마 챔버 표면상의 중합체 오염물들이 이탈되었음을 나타낸다.
제 5 실시예
이 실시예는 깨끗한 처리 챔버가 플라즈마 건조 세척되는 경우 대 오염물이 증착된 처리챔버가 플라즈마 건조 세척되는 경우에 발생된 광학 방출 스펙트럼 데이터의 차이에 대한 정보를 제공한다. 오염물이 증착된 처리 챔버가 앞서 설명한 방식으로 준비되었다. 플라즈마는 약 200sccm의 유량으로 Cl2가스로부터 발생되었다. 전력은 800W이고, 압력은 약 500mT이며, 벽 온도는 약 80℃이다. 건조 세척과정 동안에 처리챔버내에서 반응성 시료 발생재료의 내부 소오스는 20.32㎝(8inch) 직경의 포토레지스트 피복된 실리콘 웨이퍼이다.
도 4에는 깨끗한 처리 챔버에 대한 광학 방출 스펙트럼 데이터 곡선이 도시되어 있고, 도 5에는 오염물이 증착된 처리 챔버에 대한 광학 방출 스펙트럼 데이터 곡선이 도시되어 있다. 도 5에 도시된 주요 피크(503, 504, 505)(도 4에는 나타나 있지 않음)는 깨끗한 챔버가 취급되는 경우에 형성되지 않는 새로운 휘발성 화합물의 형성을 나타낸다. 이러한 피크들은 피크(303, 304, 305)와 같이 동일한 스펙트럼에 위치하며, 휘발성 CN-함유 오염 분자들로 판단된다. 이것은 오염된 플라즈마 챔버 표면 상에서 중합체 분자들로부터 형성된 상기 오염 분자들의 많은 양이 이탈됨을 나타낸다.
도 4의 피크(401, 402)로 나타나는 광학 방출 스펙트럼은 처리 챔버 표면상에 작용하는 오염물을 발견되지 않으며, 도 5에 나타나 있지 않은, 반응성 시료 발생 재료에 의하여 발생된 반응성 시료일 수 있으며, 이는 이들이 세척 과정 동안에 소비되었기 때문이다. 이와는 달리, 동등한 피크들이 도 5에 없으며, 이는 광학 유리창이 충분히 깨끗하지 않기 때문이다.
처리 챔버 벽에서 반응성 플라즈마 시료들의 세척 활동도를 향상시키는 방식으로 가공물 지지대를 보호하기 위한 여러 재료들과 반응성 시료 발생 재료의 내부 소오스가 상기의 기술들을 사용하여 평가될 수 있다. 해당기술분야의 숙련된 당업자는 최소의 실험을 통해서, 처리 챔버 표면으로부터 오염물을 제거하는 것을 지원하는 특정의 시료 발생 소오스의 효과를 결정할 수 있다.
상기의 실시예들은 본 발명을 제한하지 않으며, 본 발명의 영역은 첨부된 특허청구의 범위에 의해서 제한된다. 해당기술분야의 숙련된 당업자는 최소 경비로 본 발명의 영역으로부터 벗어나지 않는 범위내에서 다양한 수정 및 변경을 실행할 수 있다.

Claims (10)

  1. 비가스상 재료가 비휘발성 반도체 처리 챔버 오염물상에 작용하여 상기 비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응 시료를 발생할 수 있는 지를 결정하는 방법으로서,
    (a) 반응성 시료 발생 재료의 비가스상 소오스를 제공하는 단계와,
    (b) 상기 비휘발성 반도체 처리 챔버 오염물을 나타내는 하나 이상의 표면을 포함하는 반도체 처리 챔버내의 적절한 평가 위치내에 상기 반응성 시료 발생 재료의 비가스상 소오스를 위치시키는 단계와,
    (c) 상기 반응성 시료 발생 재료의 비가스상 소오스를 상기 처리 챔버로 공급되는 가스로부터 발생된 플라즈마에 노출시켜서, 휘발성 반응 시료를 발생하는 단계와,
    (d) 상기 비휘발성 오염물을 나타내는 상기 하나 이상의 표면에 근처의 휘발성 분자를 모니터하기 위하여 광학 방출 스펙트럼 기술을 사용하는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 상기 결정을 상기 반도체 처리 챔버내의 가공물 없이 실행하며, 상기 비가스상 재료를 가공물의 처리 동안 가공물에 의해 점유되어지는 장소에서 상기 반도체 처리 챔버내에 위치시키는 방법.
  3. 제 1항에 있어서, 상기 광학 방출 스펙트럼 기술은 상기 비휘발성 오염물을 나타내는 상기 표면에서 발견된 휘발성 화합물의 방출 스펙트럼과, 상기 비휘발성 오염물이 없는 표면에서 발견된 휘발성 화합물의 방출 스펙트럼과의 비교를 포함하는 방법.
  4. 제 1항에 있어서, 상기 광학 방출 스펙트럼 기술은 상기 비가스상 재료가 상기 반도체 처리 챔버내에 존재할 때와, 상기 비가스상 재료가 존재하지 않을 때의 상기 비휘발성 오염물을 나타내는 상기 표면에서 발견된 휘발성 화합물의 방출 스펙트럼을 비교하는 기술을 포함하는 방법.
  5. 제 1항에 있어서, 상기 광학 방출 스펙트럼 기술은 상기 비휘발성 오염물을 나타내는 상기 표면에 인접한 휘발성 분자의 량의 결정을 포함하는 방법.
  6. 비가스상 재료가 반도체 처리 챔버 벽상에 플라즈마 건식 세척 활동도를 향상할 수 있는 지를 결정하는 방법으로서,
    (a) 반도체 처리 챔버내에서 사용될 수 있는 비가스상 건조 세척 향상 재료를 제공하는 단계와,
    (b) 상기 비휘발성 오염물을 나타내는 하나 이상의 표면을 포함하는 반도체 처리 챔버내의 적절한 평가 위치내에 상기 비가스상 건조 세척 향상 재료를 위치시키는 단계와,
    (c) 상기 비가스상 건조 세척 향상 재료를 상기 처리 챔버로 공급되는 가스로부터 발생된 플라즈마에 노출시키는 단계와,
    (d) 상기 비휘발성 오염물을 나타내는 상기 하나 이상의 표면에 근처의 휘발성 분자를 모니터하기 위하여 광학 방출 스펙트럼 기술을 사용하는 단계를 포함하는 방법.
  7. 제 6항에 있어서, 상기 결정을 상기 반도체 처리 챔버내의 가공물 없이 실행하며, 상기 비가스상 재료를 가공물의 처리 동안 가공물에 의해 점유되어지는 장소에서 상기 반도체 처리 챔버내에 위치시키는 방법.
  8. 제 6항에 있어서, 상기 광학 방출 스펙트럼 기술은 상기 비휘발성 오염물을 나타내는 상기 표면에 인접한 휘발성 분자의 량의 결정을 포함하는 방법.
  9. 제 6항에 있어서, 상기 광학 방출 스펙트럼 기술은 상기 비휘발성 오염물을 나타내는 상기 표면에서 발견된 휘발성 화합물의 방출 스펙트럼과, 상기 비가스상 재료의 표면에서 발견된 휘발성 화합물의 방출 스펙트럼과의 비교를 포함하는 방법.
  10. 제 9항에 있어서, 상기 광학 방출 스펙트럼 기술은 상기 비가스상 재료의 상기 표면에 인접한 휘발성 분자의 량의 결정을 포함하는 방법.
KR1020010074195A 1993-08-09 2001-11-27 비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응시료를 발생할 수 있는 지를 결정하는 방법 KR100336914B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/104,318 1993-08-09
US08/104,318 US5486235A (en) 1993-08-09 1993-08-09 Plasma dry cleaning of semiconductor processing chambers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1019940019563A Division KR100348329B1 (ko) 1993-08-09 1994-08-09 비휘발성 오염물이 증착된 반도체 처리 챔버내의 표면들의 플라즈마 세척방법

Publications (1)

Publication Number Publication Date
KR100336914B1 true KR100336914B1 (ko) 2002-05-16

Family

ID=22299851

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1019940019563A KR100348329B1 (ko) 1993-08-09 1994-08-09 비휘발성 오염물이 증착된 반도체 처리 챔버내의 표면들의 플라즈마 세척방법
KR1020010074195A KR100336914B1 (ko) 1993-08-09 2001-11-27 비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응시료를 발생할 수 있는 지를 결정하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1019940019563A KR100348329B1 (ko) 1993-08-09 1994-08-09 비휘발성 오염물이 증착된 반도체 처리 챔버내의 표면들의 플라즈마 세척방법

Country Status (6)

Country Link
US (4) US5486235A (ko)
EP (2) EP0638660B1 (ko)
JP (1) JPH07153751A (ko)
KR (2) KR100348329B1 (ko)
AT (1) ATE177161T1 (ko)
DE (1) DE69416749T2 (ko)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5841651A (en) * 1992-11-09 1998-11-24 The United States Of America As Represented By The United States Department Of Energy Closed loop adaptive control of spectrum-producing step using neural networks
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
JPH08319586A (ja) * 1995-05-24 1996-12-03 Nec Yamagata Ltd 真空処理装置のクリーニング方法
US6554910B1 (en) 1996-05-17 2003-04-29 Micron Technology, Inc. Method for treating residues in semiconductor processing chambers
US5753566A (en) * 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6347636B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5712702A (en) * 1996-12-06 1998-01-27 International Business Machines Corporation Method and apparatus for determining chamber cleaning end point
US5869401A (en) * 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
JP3594759B2 (ja) * 1997-03-19 2004-12-02 株式会社日立製作所 プラズマ処理方法
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
KR100253089B1 (ko) * 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US6576547B2 (en) 1998-03-05 2003-06-10 Micron Technology, Inc. Residue-free contact openings and methods for fabricating same
US6360754B2 (en) 1998-03-16 2002-03-26 Vlsi Technology, Inc. Method of protecting quartz hardware from etching during plasma-enhanced cleaning of a semiconductor processing chamber
US6232219B1 (en) 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6467490B1 (en) * 1998-08-31 2002-10-22 Texas Instruments Incorporated Process for using a high nitrogen concentration plasma for fluorine removal from a reactor
US6674158B2 (en) * 1998-09-03 2004-01-06 Micron Technology, Inc. Semiconductor die package having a UV cured polymeric die coating
US6186154B1 (en) 1998-12-07 2001-02-13 Taiwan Semiconductor Manufacturing Company Find end point of CLF3 clean by pressure change
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US6374833B1 (en) * 1999-05-05 2002-04-23 Mosel Vitelic, Inc. Method of in situ reactive gas plasma treatment
US6259105B1 (en) * 1999-05-10 2001-07-10 Axcelis Technologies, Inc. System and method for cleaning silicon-coated surfaces in an ion implanter
FI113750B (fi) 1999-05-21 2004-06-15 Kojair Tech Oy Menetelmä ja laitteisto puolijohdeteollisuuden työvälineiden pesemiseksi
US6423479B1 (en) 2000-01-31 2002-07-23 Advanced Micro Devices, Inc. Cleaning carbon contamination on mask using gaseous phase
TW451351B (en) * 2000-01-31 2001-08-21 Mosel Vitelic Inc Method of removing the polymer byproduct accumulated on the bottom electrode of the etch reaction chamber
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6190062B1 (en) 2000-04-26 2001-02-20 Advanced Micro Devices, Inc. Cleaning chamber built into SEM for plasma or gaseous phase cleaning
DE10045793C2 (de) 2000-09-15 2002-07-18 Zeiss Carl Verfahren zum Strukturieren eines Substrats
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
JP2002334866A (ja) * 2001-05-09 2002-11-22 Tokyo Electron Ltd 被覆剤及びそれを施した耐プラズマ性部品
JP2003068705A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体素子の製造方法
US20030062064A1 (en) * 2001-09-28 2003-04-03 Infineon Technologies North America Corp. Method of removing PECVD residues of fluorinated plasma using in-situ H2 plasma
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
KR100879325B1 (ko) * 2002-03-11 2009-01-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 처리장치
US20030172953A1 (en) * 2002-03-14 2003-09-18 Koji Teranishi Method of treating inner wall of apparatus
US6814814B2 (en) * 2002-03-29 2004-11-09 Applied Materials, Inc. Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
FR2847713B1 (fr) * 2002-11-21 2005-03-18 Cit Alcatel Dispositif et procede de nettoyage des chambres de procedes et lignes de vide
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4643588B2 (ja) * 2003-12-12 2011-03-02 セメクイップ, インコーポレイテッド 固体から昇華した蒸気の流れの制御
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
US7267842B2 (en) 2004-03-15 2007-09-11 Air Products And Chemicals, Inc. Method for removing titanium dioxide deposits from a reactor
US7879409B2 (en) * 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
US8486198B2 (en) * 2005-08-04 2013-07-16 Aviza Technology Limited Method of processing substrates
KR100676206B1 (ko) * 2005-11-14 2007-01-30 삼성전자주식회사 반도체 디바이스 제조설비에서의 프로세스 챔버 세정 방법
US7875125B2 (en) * 2007-09-21 2011-01-25 Semequip, Inc. Method for extending equipment uptime in ion implantation
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
CN102449743A (zh) * 2009-04-24 2012-05-09 应用材料公司 用于后续高温第三族沉积的基材预处理
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
NL2005739A (en) * 2009-12-22 2011-06-23 Asml Netherlands Bv Object with an improved suitability for a plasma cleaning treatment.
JP5948040B2 (ja) 2010-11-04 2016-07-06 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法及び半導体装置の作製方法
TW201247334A (en) * 2011-05-18 2012-12-01 Auria Solar Co Ltd Method for cleaning deposition chamber
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10008396B2 (en) * 2014-10-06 2018-06-26 Lam Research Corporation Method for collapse-free drying of high aspect ratio structures
US10636661B2 (en) * 2016-01-15 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for wafer bonding
JP6851217B2 (ja) * 2017-02-16 2021-03-31 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
RU2669864C1 (ru) * 2017-08-03 2018-10-16 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Способ удаления перенапылённых углеводородных слоёв
US10766057B2 (en) 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
DE102018220677A1 (de) * 2018-11-30 2020-06-04 Siemens Aktiengesellschaft Vorrichtung zum Beschichten eines Bauelements sowie Reinigungseinrichtung und Verfahren zum Reinigen einer Beschichtungseinrichtung zum Beschichten wenigstens eines Bauelements
JP7374058B2 (ja) * 2020-09-18 2023-11-06 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
CN115354298A (zh) * 2022-07-05 2022-11-18 湖南红太阳光电科技有限公司 一种pecvd设备石墨舟清洗系统

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
US4451349A (en) * 1983-04-20 1984-05-29 International Business Machines Corporation Electrode treatment for plasma patterning of polymers
US4811684A (en) * 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4664939A (en) * 1985-04-01 1987-05-12 Energy Conversion Devices, Inc. Vertical semiconductor processor
US4689115A (en) * 1985-04-26 1987-08-25 American Telephone And Telegraph Company, At&T Bell Laboratories Gaseous etching process
US4863808A (en) * 1985-09-13 1989-09-05 Gould Inc. Copper-chromium-polyimide composite
JPH0834205B2 (ja) * 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4886681A (en) * 1987-01-20 1989-12-12 International Business Machines Corporation Metal-polymer adhesion by low energy bombardment
JPS63210275A (ja) * 1987-02-24 1988-08-31 Semiconductor Energy Lab Co Ltd プラズマ反応装置内を清浄にする方法
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4855015A (en) * 1988-04-29 1989-08-08 Texas Instruments Incorporated Dry etch process for selectively etching non-homogeneous material bilayers
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US4897153A (en) * 1989-04-24 1990-01-30 General Electric Company Method of processing siloxane-polyimides for electronic packaging applications
JP2539917B2 (ja) * 1989-07-10 1996-10-02 セントラル硝子株式会社 フッ化塩素ガスによる炭素材料のクリ―ニング方法
DE69025244T2 (de) * 1989-08-25 1996-06-27 Applied Materials Inc Reinigungsverfahren für eine Anlage zur Behandlung von Halbleiterscheiben
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
DE4115043A1 (de) * 1991-05-08 1997-07-17 Gen Electric Dichtgepackte Verbindungsstruktur, die eine Kammer enthält
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5202291A (en) * 1990-09-26 1993-04-13 Intel Corporation High CF4 flow-reactive ion etch for aluminum patterning
US5201990A (en) * 1991-05-23 1993-04-13 Applied Materials, Inc. Process for treating aluminum surfaces in a vacuum apparatus
US5336558A (en) * 1991-06-24 1994-08-09 Minnesota Mining And Manufacturing Company Composite article comprising oriented microstructures
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5391516A (en) * 1991-10-10 1995-02-21 Martin Marietta Corp. Method for enhancement of semiconductor device contact pads
DE4202158C1 (ko) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5240555A (en) * 1992-04-16 1993-08-31 Eastman Kodak Company Method and apparatus for cleaning semiconductor etching machines
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
KR100293830B1 (ko) * 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5329569A (en) * 1993-02-18 1994-07-12 Sandia Corporation X-ray transmissive debris shield
US5464031A (en) * 1993-06-22 1995-11-07 Micron Semiconductor, Inc. Method of chamber cleaning in MOCVD applications
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
EP0663687B1 (en) * 1994-01-18 1997-07-09 Nisshinbo Industries, Inc. Instrument for production of semiconductor and process for production thereof

Also Published As

Publication number Publication date
EP0786537A1 (en) 1997-07-30
JPH07153751A (ja) 1995-06-16
ATE177161T1 (de) 1999-03-15
US5486235A (en) 1996-01-23
DE69416749T2 (de) 1999-07-01
EP0638660A2 (en) 1995-02-15
EP0638660A3 (en) 1995-09-13
KR100348329B1 (ko) 2002-12-02
US5676759A (en) 1997-10-14
EP0638660B1 (en) 1999-03-03
KR950007013A (ko) 1995-03-21
DE69416749D1 (de) 1999-04-08
US5685916A (en) 1997-11-11
US5753137A (en) 1998-05-19

Similar Documents

Publication Publication Date Title
KR100336914B1 (ko) 비휘발성 오염물을 휘발시키는 하나 이상의 휘발성 반응시료를 발생할 수 있는 지를 결정하는 방법
US5756400A (en) Method and apparatus for cleaning by-products from plasma chamber surfaces
US6014979A (en) Localizing cleaning plasma for semiconductor processing
US5952060A (en) Use of carbon-based films in extending the lifetime of substrate processing system components
KR100789684B1 (ko) 플라즈마 반응 챔버의 세정 및 조절 방법
Schaepkens et al. Study of the SiO 2-to-Si 3 N 4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO 2-to-Si mechanism
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
KR100971045B1 (ko) 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법
US6352081B1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
KR100270288B1 (ko) 플라즈마-불활성 커버 및 플라즈마 세척 방법 및 이를 이용한 장치
KR101737378B1 (ko) 플라즈마 전해질 산화 코팅에서의 구리 또는 미량 금속 오염 물질의 감소
US7642195B2 (en) Hydrogen treatment to improve photoresist adhesion and rework consistency
US7479457B2 (en) Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
JP2004247755A (ja) キセノンを用いたプラズマエッチング
JPH06298596A (ja) プラズマ処理反応器内の導電性の面を保護するための方法及び装置
WO1999056310A2 (en) Method for etching low k dielectric layers
JP2001526463A (ja) 基板から有機反射防止皮膜をエッチングするためのシステムと方法
Ramos et al. Cleaning aluminum fluoride coatings from plasma reactor walls in SiCl4/Cl2 plasmas
JPH07312363A (ja) プラズマエッチング装置におけるコンタミナント捕獲用コーティング層の形成方法および副次生成粒子の付着蓄積制御方法、並びにコンタミナント捕獲コーティングを有したプラズマエッチング装置
US4526644A (en) Treatment device utilizing plasma
EP0418592B1 (en) Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
US20050269294A1 (en) Etching method
US6770214B2 (en) Method of reducing aluminum fluoride deposits in plasma etch reactor
Wuu et al. Etching of platinum thin films in an inductively coupled plasma
Joubert et al. Characterization of dielectric etching processes by X-ray photoelectron spectroscopy analyses in high aspect ratio contact holes

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120427

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 12