KR100334534B1 - Manufacturing method for semiconductor device - Google Patents

Manufacturing method for semiconductor device Download PDF

Info

Publication number
KR100334534B1
KR100334534B1 KR1019990020533A KR19990020533A KR100334534B1 KR 100334534 B1 KR100334534 B1 KR 100334534B1 KR 1019990020533 A KR1019990020533 A KR 1019990020533A KR 19990020533 A KR19990020533 A KR 19990020533A KR 100334534 B1 KR100334534 B1 KR 100334534B1
Authority
KR
South Korea
Prior art keywords
interlayer insulating
insulating film
film
etching
contact
Prior art date
Application number
KR1019990020533A
Other languages
Korean (ko)
Other versions
KR20010001375A (en
Inventor
김근태
Original Assignee
박종섭
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 박종섭, 주식회사 하이닉스반도체 filed Critical 박종섭
Priority to KR1019990020533A priority Critical patent/KR100334534B1/en
Publication of KR20010001375A publication Critical patent/KR20010001375A/en
Application granted granted Critical
Publication of KR100334534B1 publication Critical patent/KR100334534B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체소자의 제조방법에 관한 것으로서, 질화막을 식각장벽층으로 사용하고, 콘택 식각 공정에서 활성영역 전체를 노출시키는 식각 마스크를 사용하는 EM SAC 공정에서 일차 콘택 홀 형성을 위한 식각 공정시 층간절연막의 두께에 해당되는 저스트 에칭을 건식으로 실시하여 콘택 부분에 층간절연막이 어느정도 남도록하고, 나머지 층간절연막을 습식으로 제거하는 이차례 식각을 실시하는 것으로서, BPSG에 비해 단차피복성이 우수한 고밀도 플라즈마 산화막이나 APL 산화막을 사용하는 경우 게이트전극의 사이에 보이드가 생성될 정도의 미세 패턴에서도 건식식각시 보이드 부분에 생성되는 에치스톱을 후속 습식식각 공정에서 제거할 수 있어, 질화막의 손실을 방지하고, 공정여유도가 증가되며, 콘택 불량 발생을 방지할 수 있어 공정수율 및 소자동작의 신뢰성을 향상시킬 수 있다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, wherein an interlayer during an etching process for forming a primary contact hole in an EM SAC process using a nitride film as an etch barrier layer and using an etch mask exposing the entire active region in a contact etching process. The dry etching is performed to dry the just layer corresponding to the thickness of the insulating film, so that the interlayer insulating film remains in the contact portion to some extent, and the second etching is performed to remove the remaining interlayer insulating film by wetness. In the case of using an APL oxide film, the etch stop generated in the void portion during dry etching can be removed in a subsequent wet etching process even in a fine pattern such that voids are formed between the gate electrodes, thereby preventing loss of nitride film and Process yield because the degree is increased and contact failure can be prevented It is possible to improve the reliability of the device operation.

Description

반도체소자의 제조방법{Manufacturing method for semiconductor device}Manufacturing method for semiconductor device

본 발명은 반도체소자의 제조방법에 관한 것으로, 특히 식각장벽층을 사용하는 자기정렬콘택(self align contact; 이하 SAC라 칭함)에서 식각장벽층으로 질화막을 사용하고, 콘택을 넓게 활성영역 전체에 걸쳐 오픈시키는 마진증가 (enlarged margin) SAC(이하 EMSAC이라 칭함) 공정시 일차로 산화막 재질의 층간절연막의 제거를 오버에치 없이 건식으로 실시하고, 이차로 습식 방법으로 나머지 두께의 층간절연막을 제거하여 질화막의 손실을 최소화시키고, 콘택 부분에 생성된 폴리머 성분을 제거하여 보이드에 의한 에치 스톱을 방지하고, 공정여유도를 증가시켜 재현성 및 안정성을 향상시킬 수 있는 반도체소자의 제조방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device. In particular, a nitride film is used as an etch barrier layer in a self align contact (hereinafter referred to as SAC) using an etch barrier layer, and the contact is widely spread over the active region. In the case of the open margin margin SAC (hereinafter referred to as EMSAC) process, the removal of the interlayer insulating layer of oxide material is carried out dry without over-etching first, and the interlayer insulating layer of the remaining thickness is removed by the wet method. The present invention relates to a method for manufacturing a semiconductor device capable of minimizing the loss of metal, removing the polymer component formed in the contact portion, preventing etch stop caused by voids, and increasing process margin to improve reproducibility and stability.

최근의 반도체 장치의 고집적화 추세는 미세 패턴 형성 기술의 발전에 큰 영향을 받고 있으며, 미세 패턴 형성을 위하여는 반도체 장치의 제조 공정 중에서 식각 또는 이온주입 공정 등의 마스크로 매우 폭 넓게 사용되는 감광막 패턴의 미세화가 필수 요건이다.The recent trend of high integration of semiconductor devices has been greatly influenced by the development of fine pattern formation technology. For the formation of fine patterns, photoresist patterns of photoresist patterns, which are widely used as masks such as etching or ion implantation processes, are used in the manufacturing process of semiconductor devices. Micronization is a must.

이러한 감광막 패턴의 분해능(R)은 감광막 자체의 재질이나 기판과의 접착력등과도 밀접한 연관이 있으나, 일차적으로는 사용되는 축소노광장치의 광원 파장(λ) 및 공정 변수(k)에 비례하고, 노광 장치의 렌즈 구경(numerical aperture; NA, 개구수)에 반비례한다. [R=k*λ/NA,~R=해상도,~λ=광원의~파장,~NA=개구수~]The resolution (R) of the photoresist pattern is closely related to the material of the photoresist itself or the adhesion to the substrate, but is primarily proportional to the light source wavelength (λ) and the process variable (k) of the reduction exposure apparatus used. It is inversely proportional to the lens aperture (NA, numerical aperture) of the device. [R = k * λ / NA, ~ R = resolution, ~ λ = wavelength of light source, NA = opening number ~]

여기서 상기 축소노광장치의 광분해능을 향상시키기 위하여 광원의 파장을 감소시키게 되는데, 예를들어 파장이 436 및 365㎚인 G-라인 및 i-라인 축소노광장치는 공정 분해능이 라인/스페이스 패턴의 경우 각각 약 0.7, 0.5㎛ 정도가 한계이고, 0.5㎛ 이하의 미세 패턴을 형성하기 위해서는 이보다 파장이 더 작은 원자외선(deep ultra violet; DUV), 예를들어 파장이 248㎚인 KrF 레이저나 193㎚인 ArF 레이저를 광원으로 사용하는 노광 장치를 이용하여야 한다.Here, the wavelength of the light source is reduced to improve the optical resolution of the reduced exposure apparatus. For example, the G-line and i-line reduced exposure apparatus having wavelengths of 436 and 365 nm have a process resolution of a line / space pattern. The limit is about 0.7 and 0.5 μm, respectively, and in order to form a fine pattern of 0.5 μm or less, deeper ultra violet (DUV), for example, KrF laser having a wavelength of 248 nm or 193 nm An exposure apparatus using an ArF laser as a light source should be used.

또한 축소노광장치와는 별도로 공정 상의 방법으로는 통상의 노광마스크(photo mask) 대신에 위상반전마스크(phase shift mask)로 사용하는 방법이나, 이미지 콘트라스트를 향상시킬 수 있는 별도의 박막을 웨이퍼 상에 형성하는 씨.이.엘(contrast enhancement layer; CEL) 방법이나, 두층의 감광막 사이에 에스.오.지(spin on glass; SOG)등의 중간층을 개재시킨 삼층레지스트(Tri layer resister; 이하 TLR이라 칭함) 방법 또는 감광막의 상측에 선택적으로 실리콘을 주입시키는 실리레이션 방법 등이 개발되어 분해능 한계치를 낮추고 있다.In addition to the reduction exposure apparatus, a process method may be used as a phase shift mask instead of a conventional photo mask, or a separate thin film may be formed on the wafer to improve image contrast. A tri-layer resister (hereinafter referred to as TLR) is formed by interpolating a CEL method or an intermediate layer such as spin on glass (SOG) between two photoresist layers. Method or a silicide method for selectively injecting silicon into the upper side of the photosensitive film has been developed to lower the resolution limit.

더욱이 상하의 도전배선을 연결하는 콘택홀은 상기에서의 라인/스페이스 패턴에 비해 디자인 룰이 더 크게 나타나는데, 소자가 고집적화 되어감에 따라 자체의 크기와 주변배선과의 간격이 감소되고, 콘택홀의 지름과 깊이의 비인 에스팩트비(aspect ratio)가 증가됨에 따라 다층의 도전배선을 구비하는 고집적 반도체소자에서는 콘택을 형성하기 위하여 제조 공정에서의 마스크들간의 정확하고 엄격한 정렬이 요구되어 공정여유도가 감소된다.In addition, the contact hole connecting the upper and lower conductive wirings has a larger design rule than the above line / space pattern. As the device becomes more integrated, the size of the contact hole and the distance between the peripheral wirings are reduced. As the aspect ratio, which is a ratio of depth, increases, highly integrated semiconductor devices having multilayer conductive wirings require accurate and strict alignment between masks in a manufacturing process to form contacts, thereby reducing process margin. .

이러한 콘택 홀은 홀간의 간격 유지를 위하여 마스크 정렬시의 오배열 여유(misalignment tolerance), 노광공정시의 렌즈 왜곡(lens distortion), 마스크 제작 및 사진식각 공정시의 임계크기 변화(critical dimension variation), 마스크간의 정합(registration)등과 같은 요인들을 고려하여 마스크를 형성하여야하므로 더욱 공정마진이 감소되어 소자의 고집적화를 방해한다.These contact holes can be used for misalignment tolerance during mask alignment, lens distortion during exposure, critical dimension variation during mask fabrication and photolithography, Since the mask must be formed in consideration of factors such as registration between the masks, the process margin is further reduced to prevent high integration of the device.

러한 콘택 홀은 홀간의 간격 유지를 위하여 마스크 정렬시의 오배열 여유(misalignment tolerance), 노광공정시의 렌즈 왜곡(lens distortion), 마스크제작 및 사진식각 공정시의 임계크기 변화(critical dimension variation), 마스크간의 정합(registration)등과 같은 요인들을 고려하여 마스크를 형성한다.Such contact holes may have misalignment tolerance during mask alignment, lens distortion during exposure, critical dimension variation during mask fabrication and photolithography, The mask is formed by considering factors such as registration between the masks.

상기와 같은 콘택홀의 형성 방법으로는 직접 식각 방법과, 측벽 스페이서를 사용하는 방법 및 SAC 방법등이 있다.As a method of forming the contact hole as described above, there are a direct etching method, a method using a sidewall spacer, a SAC method, and the like.

또한 콘택홀 형성시 리소그래피(Lithography) 공정의 한계를 극복하기 위하여 고안된 SAC 방법은 식각장벽층으로 사용하는 물질에 따라 다결정실리콘층이나 질화막 또는 산화질화막등을 사용하는 것으로 나눌 수 있으며, 가장 유망한 것으로 질화막을 식각 방어막으로 사용하는 방법이 있다.In addition, the SAC method, which is designed to overcome the limitations of the lithography process in forming contact holes, can be divided into polysilicon layer, nitride film, or oxynitride film, depending on the material used as the etch barrier layer. Can be used as an etch shield.

도1a 및 도1b는 종래 기술의 제1실시예에 따른 반도체소자의 제조공정도로서, EMSAC 방법에 관한 것이다.1A and 1B are a manufacturing process diagram of a semiconductor device according to a first embodiment of the prior art, which relates to an EMSAC method.

먼저, 반도체기판(10) 상에 소정의 하부 구조물, 예를들어 소자분리 산화막(11)과 게이트 산화막(12), 게이트전극(13) 및 소오스/드레인영역(15)등의 모스 전계효과 트랜지스터(MetalOxideSemiconductor Field Effect Transister; 이하 MOS FET라 칭함)등을 형성한다. 이때 상기 게이트전극(13)의 상부에는 마스크 산화막(14) 과 마스크 질화막(16) 패턴이 중첩되어있다.First, a MOS field effect transistor such as a predetermined structure, for example, an element isolation oxide film 11, a gate oxide film 12, a gate electrode 13, and a source / drain region 15, may be formed on the semiconductor substrate 10. Metal Oxide Semiconductor Field Effect Transister (hereinafter referred to as MOS FET). In this case, a mask oxide layer 14 and a mask nitride layer 16 pattern overlap each other on the gate electrode 13.

그다음 상기 게이트전극(13)과 마스크 산화막(14) 및 마스크 질화막(16) 패턴의 측벽에 질화막 스페이서(17)를 형성한 후, 층간절연막(18)을 형성하고, 상기 제1층간절연막(18)의 상부를 화학-기계적 연마(chemical-mechanical polishing ; 이하 CMP라 칭함) 방법으로 평탄화시킨 후, 상기 반도체기판(10)에서 전하저장전극과 비트라인과의 콘택으로 예정되어있는 부분상의 층간절연막(18)을 노출시키는 감광막 패턴(19)을 형성한다. 여기서 상기 감광막패턴(19)은 T자형 활성영역을 노출시키는 형태로 형성하므로 소자분리 마스크를 사용하여 형성할 수 있다. (도 1a 참조).After that, a nitride spacer 17 is formed on sidewalls of the gate electrode 13, the mask oxide layer 14, and the mask nitride layer 16. Then, an interlayer dielectric layer 18 is formed, and the first interlayer dielectric layer 18 is formed. The upper portion of the interlayer insulating film 18 is planarized by chemical-mechanical polishing (hereinafter referred to as CMP) method, and is arranged in contact with the charge storage electrode and the bit line in the semiconductor substrate 10. ) Is formed a photosensitive film pattern 19. The photoresist pattern 19 may be formed using a device isolation mask because the photoresist pattern 19 is formed to expose a T-shaped active region. (See FIG. 1A).

그후, 상기 감광막 패턴(19)에 의해 노출되어있는 층간절연막(18)을 건식식각하여 마스크 질화막(16) 상부를 노출시키고, 계속적으로 식각을 진행하여 반도체기판(10)의 콘택으로 예정된 부분을 노출시키는 콘택홀(20)을 형성한다. (도 1b 참조).Thereafter, the interlayer insulating film 18 exposed by the photosensitive film pattern 19 is dry etched to expose the upper portion of the mask nitride film 16, and the etching is performed continuously to expose a portion of the semiconductor substrate 10 that is intended to be in contact with the semiconductor substrate 10. A contact hole 20 is formed. (See FIG. 1B).

그후, 도시되어있지는 않으나 별도의 층간절연막과 비트라인 콘택 및 비트라인 그리고 전하저장전극 콘택 및 전하저장전극을 형성하는 공정을 진행한다.Thereafter, although not shown, a process of forming a separate interlayer insulating film, a bit line contact and a bit line, a charge storage electrode contact, and a charge storage electrode is performed.

상기의 종래 기술에 따른 EMSAC 공정에 콘택 형성방법은 소자가 고집적화되어 게이트전극간의 스페이스가 충분하지 못한 경우 콘택 오픈영역의 확보가 어려워 식각 장벽인 질화막의 두깨를 얇게 형성하여야하고 콘택 에칭시에 질화막의 손실을 감소시켜야 단락등의 불량 발생도 방지할 수 있게 되는데, 질화막 스페이서가 얇게 형성되어 지면 오버에칭 공정의 여유도가 감소되어 소자 제작이 어려워지고, 재현성이 떨어지는 문제점이 있다.The contact formation method in the EMSAC process according to the prior art is that when the device is highly integrated and there is not enough space between the gate electrodes, it is difficult to secure the contact open area, so that the thickness of the nitride film, which is an etch barrier, should be thinned. When the loss is reduced, it is possible to prevent the occurrence of defects such as short circuits. When the nitride film spacer is thinly formed, the margin of overetching process is reduced, so that device fabrication is difficult and reproducibility is inferior.

도 2a 내지 도 2b는 종래 기술의 다른 실시예에 따른 반도체소자의 제조공정도로서, 층간절연막 형성시 보이드가 형성된 경우의 예이다.2A to 2B are manufacturing process diagrams of a semiconductor device according to another exemplary embodiment of the related art, which is an example in which voids are formed when an interlayer insulating film is formed.

도 1a와 동일한 공정을 순차적으로 진행하되, 게이트전극(13)간의 간격이 0.05㎛ 이하로 작아 지는 경우 층간절연막을 통상의 비.피.에스.지(Boro Phosphor Silicate Glass; 이하 BPSG라 칭함)로 사용할 수 없어 그 보다 단차피복성이 우수한 고밀도 플라즈마 산화막이나 화학기상증착(Chemical Vapor Deposition; 이하 CVD라 칭함) 산화막의 일종인 APL(advanced planerization layer) 산화막을 사용하게 되는데, 이때 에도 우수한 단차피복성을 가짐에도 불구하고 보이드(30)가 형성될 수 있으며, (도 2a참조), 이 경우에는 에칭 공정을 진행하면 보이드(30)가 있는 부분에서는 폴리머등의 재질로된 에치스톱(32)이 생성되어 콘택 오픈을 방해한다. (도 2b 참조).The same process as in FIG. 1A is sequentially performed, but when the gap between the gate electrodes 13 is reduced to 0.05 μm or less, the interlayer insulating film is referred to as ordinary B. P. S. glass (hereinafter referred to as BPSG). The use of high density plasma oxide film or chemical vapor deposition (CVD) oxide film, which is not available, makes it possible to use APL (advanced planerization layer) oxide film. Although having a void 30 may be formed (see FIG. 2A), in this case, when the etching process is performed, an etch stop 32 made of a material such as a polymer is generated at the portion having the void 30. Interfere with contact open. (See FIG. 2B).

상기와 같이 질화막을 식각장벽으로 사용하는 EM SAC 공정을 사용하는 종래 기술에 따른 반도체소자의 제조방법은 게이트의 간격이 작은 미세 패턴의 경우에 질화막 스페이서의 두깨를 두껍게 형성할 수 없어 콘택 오픈을 위한 층간 절연막의 오버에칭 공정시 질화막의 손실을 최소화시켜야 단락등의 불량 발생을 방지할 수 있게 되는데, 그러한 공정 조절이 어려워 공정의 안정성이나 재현성이 떨어지고, 더욱 미세한 패턴을 형성하는 경우 갭필링 특성이 우수한 고밀도 플라즈마 산화막 이나 APL 산화막을 사용하게 되는데, 이때 원활한 갭필링이 되지 않아 게이트 사이에 보이드가 생성되는 경우 보이드가 있는 부분에서는 다량의 폴리머가 발생되어 에치스톱이 형성되므로 콘택이 제대로 형성되지 않아 공정수율 및 소자동작의 신뢰성을 떨어뜨리는 문제점이 있다.The semiconductor device manufacturing method according to the prior art using the EM SAC process using the nitride film as an etching barrier as described above can not form the thickness of the nitride film spacer thick in the case of a fine pattern with a small gate gap for contact opening Minimize the loss of the nitride film during the overetching process of the interlayer insulating film to prevent the occurrence of defects such as short circuit, but it is difficult to control such process, the process stability and reproducibility is lowered, and the gap filling characteristics are excellent when forming a finer pattern High density plasma oxide film or APL oxide film is used, but when voids are generated between the gates due to the gap gap filling, a large amount of polymer is generated in the part where the voids are formed so that the contact stop is not formed properly, so the process yield And doors that reduce the reliability of device operation There is a problem.

본 발명은 상기와 같은 문제점을 해결하기 위한 것으로서, 본 발명의 목적은 질화막을 식각 장벽으로 사용하는 EM SAC 공정에서 콘택홀 식각 공정시 질화막을 노출시키는 정도까지 건식 식각을 실시하고, 이차로 습식으로 남아 있는 산화막을제거하여 질화막의 손실을 최소화시켜 공정여유도를 식각 공정에서의 증가시키고, 보이드 부분에 형성되는 에치 스톱을 습식 식각시에 제거하고 산화막도 함께 제거하므로 콘택 불량을 방지하여 공정의 안정성과 재현성을 향상시킬 수 있는 반도체소자의 제조방법을 제공함에 있다.The present invention is to solve the above problems, an object of the present invention is to perform the dry etching to the extent to expose the nitride film during the contact hole etching process in the EM SAC process using the nitride film as an etching barrier, the second wet By removing the remaining oxide film, the loss of nitride film is minimized to increase the process margin in the etching process, and the etch stop formed in the void part is removed during wet etching, and the oxide film is also removed together to prevent contact defects. And to provide a method for manufacturing a semiconductor device that can improve the reproducibility.

도 1a 및 도 1b는 종래 기술의 제1실시에에 따른 반도체소자의 제조공정도.1A and 1B are a manufacturing process diagram of a semiconductor device according to a first embodiment of the prior art.

도 2a 및 도 2b는 종래 기술의 제2실시에에 따른 반도체소자의 제조공정도.2A and 2B are manufacturing process diagrams of a semiconductor device according to a second embodiment of the prior art.

도 3a 내지 도 3c는 본 발명에 따른 반도체소자의 제조공정도.3a to 3c is a manufacturing process diagram of a semiconductor device according to the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

10 : 반도체기판 11 : 소자분리 산화막10 semiconductor substrate 11: device isolation oxide film

12 : 게이트 산화막 13 : 게이트전극12 gate oxide film 13 gate electrode

14 : 마스크 산화막 15 : 소오스/드레인영역14 mask oxide film 15 source / drain region

16 : 마스크 질화막 17 : 질화막 스페이서16: mask nitride film 17 nitride film spacer

18 : 층간절연막 19 : 감광막 패턴18: interlayer insulating film 19: photosensitive film pattern

20 : 콘택홀 30 : 보이드20: contact hole 30: void

32 : 에치스톱32: etch stop

상기와 같은 목적을 달성하기 위한 본 발명에 따른 반도체소자 제조방법의 특징은,Features of the semiconductor device manufacturing method according to the present invention for achieving the above object,

질화막을 식각장벽층으로 사용하는 EMSAC 공정에 있어서,In the EMSAC process using a nitride film as an etch barrier layer,

반도체기판상에 소정의 하부 구조물을 형성하는 공정과,Forming a predetermined lower structure on the semiconductor substrate;

상기 하부 구조물을 감싸며, 반도체기판의 콘택으로 예정되어있는 부분을 노출시키는 질화막 패턴을 형성하는 공정과,Forming a nitride film pattern surrounding the lower structure and exposing a portion of the semiconductor substrate to be contacted with the semiconductor substrate;

상기 구조의 전표면에 상부가 평탄화된 산화막 재질의 층간절연막을 형성하는 공정과,Forming an interlayer insulating film of an oxide film material having a flattened upper surface on the entire surface of the structure;

상기 층간절연막상에 활성영역을 노출시키는 감광막패턴을 형성하는 공정과,Forming a photosensitive film pattern exposing an active region on the interlayer insulating film;

상기 감광막패턴에 의해 노출되어있는 층간절연막을 건식식각 방법으로 제거하되, 오버에칭을 실시하지 않아 콘택으로 예정된 반도체기판 상부의 층간절연막이 남도록 진행하는 일차 식각 공정과,A first etching process of removing the interlayer insulating film exposed by the photosensitive film pattern by a dry etching method, but leaving the interlayer insulating film on the semiconductor substrate scheduled as a contact without overetching;

상기 감광막패턴에 의해 노출되어있는 나머지 층간절연막을 습식식각 방법으로 제거하는 이차 식각공정을 구비함에 있다.A secondary etching process of removing the remaining interlayer insulating film exposed by the photosensitive film pattern by a wet etching method.

이하, 첨부된 도면을 참조하여 본 발명에 따른 반도체소자의 제조방법에 대하여 상세히 설명을 하기로 한다.Hereinafter, a method of manufacturing a semiconductor device according to the present invention will be described in detail with reference to the accompanying drawings.

도 3a 내지 도 3c는 본 발명에 따른 반도체소자의 제조공정도로서, 질화막을 식각장벽층으로 사용한 EM SAC 공정의 예이다.3A to 3C illustrate an example of an EM SAC process using a nitride film as an etch barrier layer.

먼저, 도 1a와 같은 공정을 순차적으로 진행하여 반도체기판(10) 상에 소자분리 산화막(11)과 게이트 산화막(12), 게이트전극(13) 및 소오스/드레인영역(15)의 MOS FET과, 상기 게이트전극(13)과 중첩되어있는 마스크 산화막(14) 패턴과 마스크 질화막 패턴(16), 그리고, 상기 게이트전극(13)과 마스크 산화막(14) 패턴 및 마스크 질화막(16) 패턴의 측벽에 질화막 스페이서(17)를 형성한다.First, as shown in FIG. 1A, the MOS FETs of the device isolation oxide film 11, the gate oxide film 12, the gate electrode 13, and the source / drain regions 15 are sequentially formed on the semiconductor substrate 10. A nitride film 14 pattern and a mask nitride film pattern 16 overlapping the gate electrode 13 and a nitride film on sidewalls of the gate electrode 13, mask oxide film 14 pattern and mask nitride film 16 pattern. The spacer 17 is formed.

그다음 상기 구조의 전표면에 BPSG나 고밀도 플라즈마 또는 APL 산화막 재질의 층간절연막(18)을 형성한 후, CMP 방법으로 상부를 평탄화시키고, 상기 층간절연막(18) 상에 활성영역을 정의하는 소자분리 마스크를 사용하여 감광막패턴(19)을 형성한다. 이때 상기 패턴이 디자인룰 0.13㎛ 이하의 미세 패턴이면 현재의 산화막 물질로는 층간절연막(18)의 내부에 보이드(30)가 형성되며, 상기 감광막패턴(19)은 상기 반도체기판(10)에서 전하저장전극과 비트라인과의 콘택으로 예정되어있는 부분상의 층간절연막(18)을 T자나 I 또는 Z자등과 같은 활성영역의 형상과 같이 노출시키되, 4개의 게이트전극(13)과의 사이에 위치하는 3곳의 반도체기판(10)을 노출시킨다. (도 3a 참조).Then, after forming the interlayer insulating film 18 of BPSG, high density plasma or APL oxide material on the entire surface of the structure, planarizing the upper portion by the CMP method, defining an active region on the interlayer insulating film 18 To form the photosensitive film pattern 19. At this time, if the pattern is a fine pattern of 0.13 μm or less, the void 30 is formed inside the interlayer insulating film 18 as a current oxide film material, and the photosensitive film pattern 19 is charged on the semiconductor substrate 10. The interlayer insulating film 18, which is intended to be in contact with the storage electrode and the bit line, is exposed in the shape of an active region such as T, I or Z, and is located between the four gate electrodes 13. Three semiconductor substrates 10 are exposed. (See FIG. 3A).

그후, 상기 감광막 패턴(18)에 의해 노출되어있는 층간절연막(18)을 건식식각하되, 통상 실시하는 30∼50% 정도의 오버에칭을 하지 않는다. 따라서 콘택으로 예정된 부분 상에도 층간절연막(18)의 일부가 남게 되며, 보이드(30)가 생성된 부분에는 에치스톱(32)이 형성된다. (도 3b 참조).Thereafter, the interlayer insulating film 18 exposed by the photosensitive film pattern 18 is dry etched, but no over etching of about 30 to 50% is performed. Therefore, a part of the interlayer insulating film 18 remains on the portion scheduled as the contact, and the etch stop 32 is formed in the portion where the void 30 is formed. (See Figure 3b).

그다음 상기 남아 있는 층간절연막(18)을 습식 식각 방법으로 제거하는데, 이때 에치스톱도 함께 제거되어 콘택홀(20)이 형성된다. 습식식각 방법으로는 바람직하게는 HF 베이스 케미칼을 이용하면 산화막 계열과 질화막 간의 식각선택비가 증가되어 공정 여유도가 더욱 증가되며, 더욱 바람직하게는 기존 HF 베이스 케미칼로서 300:1 희석 BOE나, 50:1 희석 HF를 사용한다. (도 3c 참조).Then, the remaining interlayer insulating film 18 is removed by a wet etching method, whereby the etch stop is also removed to form the contact hole 20. In the wet etching method, preferably, the use of HF base chemical increases the etching selectivity between the oxide series and the nitride layer, thereby further increasing the process margin, and more preferably, 300: 1 dilution BOE or 50: as the existing HF base chemical. Use 1 dilute HF. (See FIG. 3C).

상기에서 습식식각에 의해 층간절연막의 측벽이 제거되어 비트라인과 전하저장전극등의 배선간격이 감소되는 것을 방지하기 위하여 상기 층간절연막을 상.하 두층으로 적층하되 상층이 습식식각에 내성이 강한 층으로 형성할 수도 있다.In order to prevent the sidewalls of the interlayer dielectric layer from being removed by wet etching, thereby reducing the wiring gap between the bit line and the charge storage electrode, the interlayer dielectric layers are stacked in two layers, the upper layer having a strong resistance to wet etching. It can also be formed.

이상에서 설명한 바와 같이 본 발명에 따른 반도체소자의 제조방법은, 질화막을 식각장벽층으로 사용하고, 콘택 식각 공정에서 활성영역 전체를 노출시키는 식각 마스크를 사용하는 EM SAC 공정에서 일차 콘택 홀 형성을 위한 식각 공정시 층간절연막의 두깨에 해당되는 저스트 에칭을 건식으로 실시하여 콘택 부분에 층간절연막이 어느정도 남도록하고, 나머지 층간절연막을 습식으로 제거하는 이차례 식각을 실시하는 것으로서, BPSG에 비해 단차피복성이 우수한 고밀도 플라즈마 산화막이나 APL 산화막을 사용하는 경우 게이트전극의 사이에 보이드가 생성될 정도의 미세 패턴에서도 건식식각시 보이드 부분에 생성되는 에치스톱을 후속 습식식각 공정에서 제거할 수 있어, 질화막의 손실을 방지하고, 공정여유도가 증가되며, 콘택 불량 발생을 방지할 수 있어 공정수율 및 소자동작의 신뢰성을 향상시킬 수 있는이점이 있다.As described above, the method of manufacturing a semiconductor device according to the present invention includes forming a first contact hole in an EM SAC process using a nitride film as an etch barrier layer and using an etch mask that exposes the entire active region in a contact etching process. During the etching process, just etching corresponding to the thickness of the interlayer insulating film is carried out dryly so that the interlayer insulating film remains in the contact portion, and the second etching is performed to remove the remaining interlayer insulating film by wetness, and the step coverage is superior to the BPSG. In the case of using a high density plasma oxide film or an APL oxide film, the etch stop generated in the void portion during dry etching can be removed in a subsequent wet etching process even in a fine pattern such that voids are formed between the gate electrodes, thereby preventing loss of the nitride film. Process margins are increased, and contact failures can be prevented. It has the advantage to improve the reliability of the process yield and device operation.

Claims (3)

질화막을 식각장벽층으로 사용하는 EMSAC 공정에 있어서,In the EMSAC process using a nitride film as an etch barrier layer, 반도체기판상에 소정의 하부 구조물을 형성하는 공정과,Forming a predetermined lower structure on the semiconductor substrate; 상기 하부 구조물을 감싸며, 반도체기판의 콘택으로 예정되어있는 부분을 노출시키는 질화막 패턴을 형성하는 공정과,Forming a nitride film pattern surrounding the lower structure and exposing a portion of the semiconductor substrate to be contacted with the semiconductor substrate; 상기 구조의 전표면에 상부가 평탄화된 산화막 재질의 층간절연막을 형성하는 공정과,Forming an interlayer insulating film of an oxide film material having a flattened upper surface on the entire surface of the structure; 상기 층간절연막상에 활성영역을 노출시키는 감광막패턴을 형성하는 공정과,Forming a photosensitive film pattern exposing an active region on the interlayer insulating film; 상기 감광막패턴에 의해 노출되어있는 층간절연막을 건식식각 방법으로 제거하되, 오버에칭을 실시하지 않아 콘택으로 예정된 반도체기판 상부의 층간절연막이 남도록 진행하는 일차 식각 공정과,A first etching process of removing the interlayer insulating film exposed by the photosensitive film pattern by a dry etching method, but leaving the interlayer insulating film on the semiconductor substrate scheduled as a contact without overetching; 상기 감광막패턴에 의해 노출되어있는 나머지 층간절연막을 습식식각 방법으로 제거하는 이차 식각공정을 구비하는 반도체소자의 제조방법.And a second etching process for removing the remaining interlayer insulating film exposed by the photosensitive film pattern by a wet etching method. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막을 BPSG, 고밀도 플라즈마 산화막 또는 APL 산화막중 어느하나로 형성하는 것을 특징으로하는 반도체소자의 제조방법.The interlayer insulating film is formed of any one of BPSG, high density plasma oxide film or APL oxide film. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막을 이층으로 형성하되, 상부층을 하부층 보다 습식식각 내성이 강한 물질로 형성하는 것을 특징으로하는 반도체소자의 제조방법.The interlayer insulating layer is formed of two layers, and the upper layer is formed of a material having a stronger wet etching resistance than the lower layer.
KR1019990020533A 1999-06-03 1999-06-03 Manufacturing method for semiconductor device KR100334534B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019990020533A KR100334534B1 (en) 1999-06-03 1999-06-03 Manufacturing method for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990020533A KR100334534B1 (en) 1999-06-03 1999-06-03 Manufacturing method for semiconductor device

Publications (2)

Publication Number Publication Date
KR20010001375A KR20010001375A (en) 2001-01-05
KR100334534B1 true KR100334534B1 (en) 2002-05-03

Family

ID=19589901

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990020533A KR100334534B1 (en) 1999-06-03 1999-06-03 Manufacturing method for semiconductor device

Country Status (1)

Country Link
KR (1) KR100334534B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100647481B1 (en) * 2001-05-02 2006-11-17 삼성전자주식회사 Method of manufacturing semiconductor device using self-aligned contact process

Also Published As

Publication number Publication date
KR20010001375A (en) 2001-01-05

Similar Documents

Publication Publication Date Title
KR100350764B1 (en) Manufacturing method of semiconductor device
KR20060114431A (en) Manufacturing method for semiconductor device
KR100334534B1 (en) Manufacturing method for semiconductor device
KR100583103B1 (en) Method for fabricating of semiconductor device
KR100346449B1 (en) Manufacturing method for semiconductor device
KR100307558B1 (en) Manufacturing method of semiconductor device
KR100307560B1 (en) Manufacturing method of semiconductor device
KR20000045485A (en) Method for manufacturing semiconductor device
KR20010063763A (en) Manufacturing method for semiconductor device
KR20000003644A (en) Method for manufacturing capacitor of semiconductor devices
KR100527589B1 (en) Manufacturing method for semiconductor device
KR100304440B1 (en) Manufacturing method of semiconductor device
KR100482997B1 (en) Manufacturing method for semiconductor device
KR100535083B1 (en) Manufacturing method for semiconductor device
KR20000043205A (en) Method for forming contact hole of semiconductor device
KR20040061857A (en) Method for fabricating of semiconductor device
KR20020002013A (en) Manufacturing method for semiconductor device
KR20000045357A (en) Method for fabricating semiconductor device
KR20020002009A (en) Manufacturing method for semiconductor device
KR20010001376A (en) Manufacturing method for semiconductor device
KR20040072261A (en) Manufacturing method of semiconductor device
KR20040080574A (en) Method for manufacturing semiconductor device
KR20050014173A (en) Manufacturing method for semiconductor device
KR20060038632A (en) Manufacturing method of semiconductor device
KR20010005156A (en) Fabricating method for semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100325

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee