KR100322890B1 - 반도체장치의 절연막 형성방법 - Google Patents

반도체장치의 절연막 형성방법 Download PDF

Info

Publication number
KR100322890B1
KR100322890B1 KR1019990067174A KR19990067174A KR100322890B1 KR 100322890 B1 KR100322890 B1 KR 100322890B1 KR 1019990067174 A KR1019990067174 A KR 1019990067174A KR 19990067174 A KR19990067174 A KR 19990067174A KR 100322890 B1 KR100322890 B1 KR 100322890B1
Authority
KR
South Korea
Prior art keywords
forming
semiconductor device
insulating film
film forming
gate electrode
Prior art date
Application number
KR1019990067174A
Other languages
English (en)
Other versions
KR20010059653A (ko
Inventor
진승우
정우석
Original Assignee
박종섭
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 박종섭, 주식회사 하이닉스반도체 filed Critical 박종섭
Priority to KR1019990067174A priority Critical patent/KR100322890B1/ko
Publication of KR20010059653A publication Critical patent/KR20010059653A/ko
Application granted granted Critical
Publication of KR100322890B1 publication Critical patent/KR100322890B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 반도체장치의 절연막 형성방법에 관한 것으로서, 반도체장치의 크기가 작아짐에 따라 셀내의 게이트전극간 간격이 축소되어 에스팩트율이 증가함으로써 절연막(50)을 증착할 때 ALE(Atomic Layer Epitaxy) 방법을 적용하여 실리콘층을 형성한 후 산화시키는 공정을 반복하여 실리콘산화막으로 절연막(50)을 형성함으로써 게이트전극간에 발생하는 보이드를 억제할 수 있는 이점이 있다.

Description

반도체장치의 절연막 형성방법{METHOD FOR FORMING OXIDE LAYER OF SEMICONDUCTOR DEVICE}
본 발명은 반도체장치의 절연막 형성방법에 관한 것으로서, 보다 상세하게는 반도체장치의 크기가 작아짐에 따라 셀내의 게이트전극간 간격이 축소되어 에스팩트율이 증가함으로써 절연막을 증착할 때 ALE(Atomic Layer Epitaxy) 방법을 적용하여 실리콘층을 형성한 후 산화시키는 공정을 반복하여 실리콘산화막으로 절연막을 형성함으로써 게이트전극간에 발생하는 보이드를 억제할 수 있도록 한 반도체장치의 절연막 형성방법에 관한 것이다.
현재 반도체장치의 고집적화로 인하여 콘택홀 사이즈를 비롯한 패턴 사이즈가 점점 축소가 되기 때문에 셀 내의 게이트전극간 간격이 축소됨에 따라 게이트전극간 거리와 게이트 높이간의 비인 에스팩트율이 증가하게 되어 게이트전극간 절연을 위해 BPSG를 증착하고 고온의 열공정을 이용하여 리플로우(reflow) 및 고밀도화(densification)를 진행하고 있는데 도 1과 같이 게이트전극간 사이가 완전히 채워지지 않아 'A'와 같이 보이드가 형성되는 문제점이 있다.
따라서, 현재는 이런 보이드 문제로 인하여 고온의 RTP공정을 추가로 진행하고 있기도 하다. 그러나 이러한 고온의 열공정은 반도체장치의 축소시 요구되고 있는 저온처리 경향에 위배되고 있어 이 또한 반도체장치의 축소에 문제가 되고 있다.
즉, 폴리간 갭필을 위해서는 에스팩트율 확보를 위하여 폴리간 간격을 확보하든지 아니면 고온의 열공정을 이용하여 폴리 사이를 갭필하느냐하는 어려운 문제에 직면해 있다.
따라서, 이러한 문제점을 해결하기 위해 BPSG필름을 대체할 다른 필름이 요구되고 있어 현재에는 이를 대체할 물질로 SOG(Spin On Glass) 또는 HSQ(Hydrogen Silses Quioxane) 등이 연구되고 있으나 만족할 만한 수준은 아니라는 문제점이 있다.
본 발명은 상기와 같은 문제점을 해결하기 위해 창작된 것으로서, 본 발명의 목적은 반도체장치의 크기가 작아짐에 따라 셀내의 게이트전극간 간격이 축소되어 에스팩트율이 증가함으로써 절연막을 증착할 때 ALE(Atomic Layer Epitaxy) 방법을 적용하여 실리콘층을 형성한 후 산화시키는 공정을 반복하여 실리콘산화막으로 절연막을 형성함으로써 게이트전극간에 발생하는 보이드를 억제할 수 있도록 한 반도체장치의 절연막 형성방법에 관한 것이다.
도 1은 종래의 방법에 의해 형성된 반도체장치의 절연막을 나타낸 단면도이다.
도 2내지 도 4는 본 발명에 의한 반도체장치의 절연막 형성방법을 설명하기 위한 단면도들이다.
- 도면의 주요부분에 대한 부호의 설명 -
10 : 기판 20 : 게이트전극
25 : 스페이서 30 : ALE막
32 : 실리콘층 40 : BPSG막
50 : 절연막
상기와 같은 목적을 실현하기 위한 본 발명은 기판상부에 게이트전극을 형성한 후 게이트전극 측벽에 질화막 스페이서를 형성하는 단계와, 소정영역상에 소오스/드레인 영역을 형성하는 단계와, 상기 구조 전체에 실리콘층을 증착하고 Ar가스를 퍼지한 후 산화공정을 진행한 후 다시 Ar가스를 퍼지하여 ALE막을 형성하는 단계와, 게이트전극간 간격을 완전히 채울 때까지 ALE막 형성공정을 반복한 후 결과물 전면에 BPSG막을 형성하는 단계와, BPSG막을 형성한 후 리플로우 시켜 평탄화한 후 CMP공정으로 평탄화 공정을 수행하여 절연막을 형성하는 단계로 이루어진 것을특징으로 한다.
위와 같이 이루어진 본 발명은 게이트전극을 형성한 후 게이트전극간 거리를 ALE 방법에 의해 실리콘층을 형성하고 산화시키는 공정을 반복하여 산화실리콘층으로 게이트전극간 거리를 채운후 BPSG막을 리플로우시켜 절연막을 형성함으로써 게이트전극간 거리를 완전히 채울 수 있어 보이드 발생을 억제할 수 있다.
이하, 본 발명의 바람직한 실시예를 첨부된 도면을 참조하여 설명한다. 또한 본 실시예는 본 발명의 권리범위를 한정하는 것은 아니고, 단지 예시로 제시된 것이다.
도 2내지 도 4는 본 발명에 의한 절연막 형성방법을 설명하기 위한 단면도들이다.
도 2에 도시된 바와 같이 기판(10)위로 게이트전극(20)을 형성한 후 전면에 300∼500Å 정도의 스페이서용 질화막을 LPCVD방법으로 증착한 후 RIE로 식각하여 약 5∼10°의 각을 갖는 스페이서(25)를 형성한다. 그런다음, 소오스/드레인 영역을 형성하고 게이트전극(20)간 절연을 위해 세정공정을 진행한다.
그런다음, 웨이퍼 기준 50∼150 BASE를 가진 튜브타입의 챔버에서 600∼750℃의 온도를 유지하면서, 전면에 SiH4, Si2H6를 소오스 가스를 0.1∼1 Torr의 압력으로 약 20∼500 sccm을 흘려주면서 약 10∼30Å의 두께로 실리콘층(32)을 증착한다.
실리콘층(32)을 증착한 후 고순도의 Ar을 챔버로 퍼지하고 O2를 1∼100 Torr의 압력으로 플로우율을 50∼500 sccm 정도로 유지하면서 건식산화공정을 수행하여 실리콘층(32)을 산화시킨다.
이때 건식산화공정을 대신하여 습식산화공정을 진행할 경우에는 챔버의 압력을 1∼50 Torr로 하고, H2와 O2의 비율을 2:1로 하고, 플로우율을 100∼500 sccm 정도로 유지하면서 고온의 토치로 H2O를 증기로 형성한 후 챔버로 유입시키면서 실리콘층(32)을 산화시킨다.
이렇게 산화공정을 진행한 후 고순도의 Ar을 챔버로 퍼지한 후 다시 실리콘층(32)을 위와 동일한 조건으로 10∼30Å의 두께로 증착한 후 Ar을 챔버로 퍼지한 후 다시 산화공정을 진행한다.
위와 같이 실리콘층(32)을 형성한 후 산화공정을 반복하여 게이트전극간 사이의 빈공간을 채운다.
따라서, 실리콘층(32)을 형성하고 산화시키는 공정의 반복은 게이트전극(20)간 사이의 간격에 따라 반복회수가 결정된다. 즉, 게이트전극(20)간 사이의 공간을 채울 때까지 ALE 공정을 수행하게 된다.
즉, 게이트전극(20) 간격이 1500Å일 때 이것을 ALE공정으로 채울 경우 30Å 두께의 실리콘층(32)의 형성하고 산화시키는 공정을 25회 반복한다는 것을 의미한다.
다음 도 3과 같이 ALE막(30) 상부 전면을 BPSG막(40)으로 증착하고 리플로우시켜 평탄화를 시킨다.
그런다음 도 4와 같이 CMP공정을 수행하여 평탄화를 수행하여 절연막(50)을 완성하게 된다.
상기한 바와 같이 본 발명은 게이트전극간 간격이 축소되어 에스팩트율이 큰 절연막을 증착할 때 ALE(Atomic Layer Epitaxy) 방법을 적용하여 실리콘층을 형성한 후 산화시키는 공정을 반복하여 차근차근 실리콘산화막을 쌓아 절연막을 형성함으로써 게이트전극간에 발생하는 보이드를 억제할 수 있는 이점이 있다.

Claims (6)

  1. 기판상부에 게이트전극을 형성한 후 게이트전극 측벽에 질화막 스페이서를 형성하는 단계와,
    소정영역에 소오스/드레인 영역을 형성하는 단계와,
    상기 구조 전체에 실리콘층을 증착하고 Ar가스를 퍼지한 후 산화공정을 진행한 후 다시 Ar가스를 퍼지하여 ALE막을 형성하는 단계와,
    게이트전극간 간격을 완전히 채울 때까지 ALE막 형성공정을 반복한 후 결과물 전면에 BPSG막을 형성하는 단계와,
    BPSG막을 형성한 후 리플로우 시켜 평탄화한 후 CMP공정으로 평탄화 공정을 수행하여 절연막을 형성하는 단계
    로 이루어진 것을 특징으로 하는 반도체장치의 절연막 형성방법.
  2. 제 1항에 있어서, 상기 스페이서를 형성하는 단계는
    상기 게이트전극 전면에 300∼500Å 정도의 질화막을 LPCVD방법으로 증착한 후 RIE로 식각하여 약 5∼10°의 각을 갖도록 형성하는 것
    을 특징으로 하는 반도체장치의 절연막 형성방법.
  3. 제 1항에 있어서, 상기 실리콘층 증착 공정은
    웨이퍼 기준 50∼150 BASE를 가진 튜브타입의 챔버에서 600∼750℃의 온도를유지하면서, 전면에 SiH4, Si2H6를 소오스 가스를 0.1∼1 Torr의 압력으로 약 20∼500 sccm을 흘려주면서 약 10∼30Å의 두께로 증착하는 것
    을 특징으로 하는 반도체장치의 절연막 형성방법.
  4. 제 1항에 있어서, 상기 산화층 형성공정은
    건식산화공정이나 습식공정으로 진행하는 것
    을 특징으로 하는 반도체장치의 절연막 형성방법.
  5. 제 4항에 있어서, 상기 건식산화공정은
    O2를 1∼100 Torr의 압력으로 플로우율을 50∼500 sccm 정도로 유지하면서 진행하는 것
    을 특징으로 하는 반도체장치의 절연막 형성방법.
  6. 제 4항에 있어서, 상기 습식산화공정은
    챔버의 압력을 1∼50 Torr로 하고, H2와 O2의 비율을 2:1로 하고, 플로우율을 100∼500 sccm 정도로 유지하면서 고온의 토치로 H2O를 증기로 형성한 후 챔버로 유입시키면서 진행하는 것
    을 특징으로 하는 반도체장치의 절연막 형성방법.
KR1019990067174A 1999-12-30 1999-12-30 반도체장치의 절연막 형성방법 KR100322890B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019990067174A KR100322890B1 (ko) 1999-12-30 1999-12-30 반도체장치의 절연막 형성방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990067174A KR100322890B1 (ko) 1999-12-30 1999-12-30 반도체장치의 절연막 형성방법

Publications (2)

Publication Number Publication Date
KR20010059653A KR20010059653A (ko) 2001-07-06
KR100322890B1 true KR100322890B1 (ko) 2002-02-08

Family

ID=19634292

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990067174A KR100322890B1 (ko) 1999-12-30 1999-12-30 반도체장치의 절연막 형성방법

Country Status (1)

Country Link
KR (1) KR100322890B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06333919A (ja) * 1992-11-24 1994-12-02 Sumitomo Metal Ind Ltd 半導体装置及びその製造方法
JPH09102492A (ja) * 1995-10-03 1997-04-15 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
JPH11317452A (ja) * 1997-08-29 1999-11-16 Seiko Epson Corp 半導体装置およびその製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06333919A (ja) * 1992-11-24 1994-12-02 Sumitomo Metal Ind Ltd 半導体装置及びその製造方法
JPH09102492A (ja) * 1995-10-03 1997-04-15 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH11317452A (ja) * 1997-08-29 1999-11-16 Seiko Epson Corp 半導体装置およびその製造方法
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100378186B1 (ko) * 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법

Also Published As

Publication number Publication date
KR20010059653A (ko) 2001-07-06

Similar Documents

Publication Publication Date Title
US7772643B2 (en) Methods of fabricating semiconductor device having a metal gate pattern
US6300219B1 (en) Method of forming trench isolation regions
US6423628B1 (en) Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
JP4886021B2 (ja) 半導体装置及びその製造方法
US7087515B2 (en) Method for forming flowable dielectric layer in semiconductor device
US20090004839A1 (en) Method for fabricating an interlayer dielectric in a semiconductor device
KR100823703B1 (ko) 소자 분리 구조물, 이의 형성 방법, 이를 포함하는 반도체장치 및 그 제조 방법
US7566924B2 (en) Semiconductor device with gate spacer of positive slope and fabrication method thereof
US6548426B1 (en) Method for improving a quality of dielectric layer and semiconductor device
US7026256B2 (en) Method for forming flowable dielectric layer in semiconductor device
KR100322890B1 (ko) 반도체장치의 절연막 형성방법
US8242004B2 (en) Semiconductor device and method of fabricating the same
KR100680416B1 (ko) 반도체 소자의 형성 방법
CN1905154A (zh) 次常压化学气相沉积技术形成无缝浅沟渠绝缘区域的工艺
KR20020092682A (ko) 반도체 장치의 절연막 형성 방법
KR100670670B1 (ko) 랜딩 플러그 콘택 구조를 가진 반도체 소자 제조방법
KR20010030088A (ko) 절연층 에칭 방법 및 반도체 장치 제조 방법
KR100237022B1 (ko) 캐패시터의 유전체막 형성방법
KR100753104B1 (ko) 반도체소자의 소자분리막 형성 방법
KR20020041582A (ko) 반도체 장치의 층간절연막 형성 방법 및 이를 이용한반도체 장치의 제조 방법
KR19990004577A (ko) 반도체소자의 소자분리절연막 형성방법
KR20010055915A (ko) 반도체 제조방법의 층간절연막 형성방법
KR19990008769A (ko) 층간 절연막 형성방법 및 이를 이용한 반도체장치의 제조방법
KR19990001435A (ko) 반도체 장치의 비어 콘택 형성방법
KR20040055877A (ko) 반도체 공정의 패시베이션 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101224

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee