KR100294529B1 - 플라즈마처리장치 - Google Patents

플라즈마처리장치 Download PDF

Info

Publication number
KR100294529B1
KR100294529B1 KR1019940004203A KR19940004203A KR100294529B1 KR 100294529 B1 KR100294529 B1 KR 100294529B1 KR 1019940004203 A KR1019940004203 A KR 1019940004203A KR 19940004203 A KR19940004203 A KR 19940004203A KR 100294529 B1 KR100294529 B1 KR 100294529B1
Authority
KR
South Korea
Prior art keywords
processing
plasma
high frequency
processed
wall
Prior art date
Application number
KR1019940004203A
Other languages
English (en)
Other versions
KR940022771A (ko
Inventor
이마하시잇세이
Original Assignee
히가시 데쓰로
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론 주식회사 filed Critical 히가시 데쓰로
Publication of KR940022771A publication Critical patent/KR940022771A/ko
Application granted granted Critical
Publication of KR100294529B1 publication Critical patent/KR100294529B1/ko

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 제조공정에 있어서의 스퍼터링 공정, 애싱공정, CVD공정, 또는 에칭공정 등에 사용되는 플라즈마 처리장치에 관한 것으로서, 둘레벽과, 일단벽과, 다른 단벽과, 이들 벽으로 둘러싸인 처리실을 가지는 처리용기(11)와, 이 처리실 내에 피처리면을 가지는 피처리체를 지지하는 수단과, 이 처리실 내에 처리가스를 공급하는 수단과, 처리용기(11) 외부에 설치되고, 처리실 내에, 고주파 전압이 인가됨으로써 상기 피처리면에 거의 수평면을 따라서 상기 고주파에 동기하여 이동하는 전자계를 형성함과 동시에, 전자파를 공급하여 상기 처리가스의 플라즈마를 발생시키기 위한 플라즈마 발생수단(13)과 상기 처리용기와 플라즈마 발생수단 사이에 배치되는 정전 결합 방지 시이트를 구비하였기 때문에, 종래와 같이 평행평판 전극 사이의 캡 길이에 제약되는 일이 없이 플라즈마를 발생시킬 수 있으며, 처리용기(11) 내를 종래보다 1자리수 내지 2 자리수정도, 예를 들면 0.005Torr 이하의 고진공으로 하여도 플라즈마를 발생시킬 수 있으며, 초미세 가공의 요구에 부응한 플라즈마 처리를 할 수가 있다.

Description

플라즈마 처리장치
제1도는 본 발명의 플라즈마 처리장치의 일실시예를 나타낸 단면도.
제2도는 제1도에 나타내는 플라즈마 처리장치의 요부를 나타낸 수평방향 단면도.
제3도는 제1도에 나타내는 플라즈마 처리장치에 사용되고 있는 고주파 자계발생장치의 일예를 나타내는 사시도.
제4도는 고주파 자계발생장치의 변형예를 나타내는 사시도.
<도면의 주요부분에 대한 부호의 설명>
11 : 처리용기 11A : 인가부
11B : 처리부 11C : 가스 공급부
11D : 가스 수급구 11E : 가스 공급구멍
11F : 배기구 12 : 서셉터
13 : 발생수단 13A : 코일
13B : 고주파 전원 14 : 시일부재
15 : 콘덴서 16 : 매칭회로
17 : 고주파 전원 51 : 진공펌프
W : 반도체 웨이퍼 B : 전자계
E : 전계
본 발명은, 예를 들면 반도체 제조공정에 있어서의 스퍼터링 공정, 애싱공정, CVD공정, 또는 에칭공정 등에 사용되는 플라즈마 처리장치에 관한 것이다.
플라즈마 처리장치는, 처리용 가스가 존재하는 처리용기 내에서 진공방전시켜서 플라즈마를 발생시키고, 이 플라즈마를 이용하여 피처리체에 성막, 애싱, 에칭 등과 같은 소정의 처리를 실시하도록 구성되어 있다.
예를 들면, 평행평판 전극을 구비한 플라즈마 처리장치가 종래부터 알려져 있다.
이 플라즈마 처리장치는, 진공배기에 의하여 감압공간을 형성하는 처리용기와, 이 처리용기 내의 아래에 설치되고, 또 피처리체로서의 반도체 웨이퍼를 유지하는 하부전극과, 이 하부전극에 대향하여 설치된 상부전극과, 이들 전극 사이에 고주파 전압을 인가하여 플라즈마를 발생시키는 고주파 전원을 갖추고 있다.
상기 상부전극의 상면에는 처리용의 가스를 수급(受給)하는 수급구가 형성되며, 또 그 하면에는 수급한 가스를 처리용기 내에 공급하는 다수 개의 가스 공급구멍이 분산형성되며 이들 가스 공급구멍으로부터 플라즈마 처리용의 가스를 처리용기 내에 공급하며, 처리 후의 가스를 처리용기에 형성된 배기구로부터 배출하도록 구성되어 있다.
상기 플라즈마 처리장치를 사용하여 반도체 웨이퍼를 플라즈마 처리하기 위해서는, 저진공으로 감압된 처리용기 내에 가스 공급구멍으로부터 플라즈마 처리용의 가스를 공급함과 동시에, 고주파 전원에 의하여 하부전극과 상부전극의 사이에 고주파 전압을 인가하여 이들 사이에서 방전에 의하여 플라즈마를 발생시키고, 이 플라즈마의 활성종(活性種)에 의하여 하부전극상의 반도체 웨이퍼에 대하여 소정의 플라즈마 처리를 하도록 되어 있다.
그러나, 상술한 바와 같은 평행평판 전극 구조를 가지는 종래의 플라즈마 처리장치의 경우에는, 처리용기 내에서 상하전극에 고주파 전압을 인가하며, 이들 전극 사이에서 방전시킴으로써, 플라즈마를 발생시키고 있기 때문에, 방전개시전압, 전극간 거리 및 가스 압력과의 관계에 의하여 방전가스 압력이 제약되며, 상하전극 사이에서 플라즈마를 안정적으로 발생시키는 가스 압력으로서는 0.5Torr정도의 압력이 진공도의 한계이고, 그 이상의 진공하에서는 플라즈마를 발생시킬 수가 없다.
이와 같은 가스 압력에서 플라즈마 처리를 하면, 플라즈마 속의 이온종(Ion 種) 등의 활성종이 서로 충돌하여 직진할 수 없게 되고, 미세가공에 지장을 주는 원인으로 되어 왔다.
더구나, 최근에는 반도체 웨이퍼의 초미세 가공에 따라서 점점 미세하고 깊은 형성의 달성이 필요로 하게 되며, 종래의 플라즈마 처리장치에서는 이와 같은 요구에 따를 수 없다고 하는 과제가 있었다.
본 발명의 목적은, 평행평판 전극구조에서는 플라즈마를 발생시킬 수가 없는 고진공하에서도 고밀도 플라즈마를 발생시킬 수가 있으며, 또 반도체 웨이퍼 등의 피처리체를 오염하는 일이 없고, 피처리체에 대하여 초미세 가공을 균일하게 할 수 있는 플라즈마 처리장치를 제공한다.
[실시예]
이하, 제 1 도 및 제 2 도에 나타내는 실시예에 의거하여 본 발명을 설명한다.
본 실시예의 플라즈마 처리장치는, 제 1 도, 제 2 도에 나타낸 바와 같이, 내부의 처리실을 외부에 대하여 밀봉하고, 고진공으로 유지할 수 있는 처리용기(11)와, 이 처리용기 내에 배치됨과 동시에 피처리체로서의 반도체 웨이퍼(W)를, 피처리면을 위로 하여 수평으로 유지하는 도전성의 서셉터(12)를 갖추고 있다. 상기 처리용기(11)의 외주에는 화살표(B)로 나타낸 바와 같이, 웨이퍼 위쪽에서 수평으로 자속이 뻗는 고주파 회전전자계를 발생시킴과 동시에, 이 자계가 있는 곳에 고주파를 발진하여, 처리실 내에 플라즈마를 발생시키는 고주파 플라즈마 발생수단(13)이 설치되어 있다.
처리용기(11)의 중심축과, 이 고주파 회전 자계의 회전 중심축과, 반도체 웨이퍼(W)의 중심축과는 바람직하게는 일치하도록, 이하에서 설명하는 각각의 부재가 배열되어 설치되어 있다.
상기 처리용기(11)는, 제 1 도에 나타낸 바와 같이, 상기 고주파 회전 자계를 인가하는 인가부(11A)와, 이 인가부(11A)의 아래 쪽에 접속되고 또 상기 서셉터(12) 상의 반도체 웨이퍼(W)를 고주파 회전 전자계(B)에 의한 플라즈마로 반도체 웨이퍼의 처리가 이루어지는 처리부(11B)를 갖추고 있다.
상기 인가부(11A)는, 석영, 세라믹 등의 절연성 재료 또는 유전재료에 의하여 형성되며, 상단 및 하단이, 각각 뚫린 원통체로 이루어지며, 또 상기 처리부(11B)는, 예를 들면 알루미늄 등의 도전성 재료에 의하여 형성되며 하단이 막혀진 원통체로 구성된다. 이 처리부(11B)의 상벽 중앙에는 상기 처리부(11B)의 내경과 실질적으로 같은 직경의 원형개구가 형성되며, 이 개구를 통하여 처리부 내부와 인가부 내부가 서로 통하여 처리실이 구성되어 있다.
이 처리부(11B)의 상벽과 상기 인가부(11A)의 하단벽은, 이들 사이에 0링 등의 시일부재(14)를 통하여 내부의 기밀을 유지하도록 둘러싸여져 있다.
이 처리부(11B)의 내면은 알마이트 가공 처리되며, 접지전위를 유지하도록 어스되어 있다.
상기 인가부(11A)의 개구 상단에는 처리용 가스를 공급하는 가스 공급부(11C)가 O링 등의 시일부재(14)를 통하여 부착되며, 이 시일부재(14)에 의하여 내부의 기밀을 유지하도록 구성되어 있다.
이 가스 공급부(11C)는, 상기 처리부(11B)와 같이, 예를 들면 알루미늄 등의 도전성 재료에 의하여 편평한 원통형 원반으로 형성되어 있다. 그리고, 이 가스 공급부(11C)의 상벽 중앙에는 가스 공급구(11C)가 형성되며, 또 상벽에는 가스 수급구(11D)로부터 공급된 처리용 가스를 이 처리용기(11) 내로 균등하게 공급하는 여러 개의 가스 공급 구멍(11E)이 분산형성되어 있다.
상기 처리부(11B)에는, 외부에 설치된 배기수단 또는 진공펌프(51)에 서로 통하는 배기구(11F)가 설치되며, 이 배기구(11F)로부터 처리후의 가스등이 처리실로부터 배기된다.
상기 가스 공급부(11C)도 상기 처리부(11B) 처럼 어스되어 있다.
상기 서셉터(12)는, 상기 처리부(11B) 및 상기 가스 공급부(11C)처럼 알마이트 가공된 알루미늄에 의하여 형성되어 있다.
이 서셉터(12)에는, 콘덴서(15), 매칭회로(16) 및 예를 들면 13.56㎒의 고주파 전압을 인가하는 고주파 전원(17)이 접속되며, 플라즈마 처리시에 이 고주파 전압에 의하여 상기 서셉터(12)가 마이너스로 바이어스되도록 구성되어 있다.
이 바이어스 전압은 반도체 웨이퍼(W)의 처리내용에 따라서 고주파 전원(17)으로부터의 인가전압을 적절하게 제어함으로써 조정할 수 있고, 이 조정전압에 따라 반도체 웨이퍼(W)에 대하여 원하는 플라즈마 처리를 실시할 수 있도록 구성되어 있다.
또 상기 고주파 플라즈마 발생수단(13)은, 상기 인가부(11A)를 사방으로부터 포위하도록, 서로 둘레방향으로 소정 간격을 가지고 설치된 4 개의 코일 또는 안테나(13A)와, 각 코일(13A)에 대하여 수100㎑∼100㎒, 예를 들면 13.56㎒의 고주파 전압을 각각 인가하는 고주파 전원(13B)을 갖추고 있다.
이 들 고주파 전원(13B)에 의하여, 4 개의 코일(13A)에는, 예를 들면 제 2 도의 우측의 코일(13A)로부터 방향으로 각각 π/2의 위상차를 가진 고주파 전압[우측의 코일(13A)을 기준으로 하여 반시계 방향으로 각각의 전압이 Vsin ωt, Vsin(ωt + π/2), Vsin(ωt + π), Vsin(ωt + 3π/2)로 됨]이 인가되어, 상기 인가부(11A) 내에 고주파 회전 전자계(B)가 형성된다.
상기 각 코일(13A)은, 각각의 고주파 전원(13B)으로부터의 공급전력에 의하여 플라즈마 발생용의 전자파 또는 전자 에너지를 발신하는 안테나로서의 역할을 가지며, 그 공급전력으로서는 예를 들면 500∼1KW가 바람직하다.
상기 코일(13A)은, 그 임피던스를 증대시키지 않도록 1회 감김코일, 또는 2회 감김 코일로서 형성되어 있는 것이 바람직하지만, 반드시 이와 같은 형상의 코일에 한정되는 것은 아니다. 이 바람직한 실시예에서는, 코일(13A)는 제 3 도에 나타낸 바와 같이(제 3 도에서는 1 개의 코일에만 고주파 전원(13B)이 접속되어 있으나, 이것은 혼잡을 피하기 위함이고, 실제로는 제 2 도에 나타낸 바와 같이 각각의 코일에 고주파 전원이 접속되어 있음), 1 개의 금속선을 인가부(11A)의 외면을 따라서 직사각형으로 구부려서 형성한 1회 감김 코일로 구성된다.
이들, 코일(13A)은, 인가부(11A)의 외면에 직접 부착되어도, 또 소정 간격을 두고 배치하여도 좋다.
바람직하게는, 제 1 도에서, 부호 50으로 나타낸 바와 같이, 인가부(11A)가 대전하여 정전결합이 생기는 것을 방지하도록, 예를 들면 페라이트계의 부재로 형성한 시이트를 통하여 배치되는 것이 바람직하다. 이와 같은 정전결합 방지 시이트는, 안테나(13A)로부터 발진되는 전자파가, 처리실 내에 들어가는 것을 저지한다거나, 처리실 내에서 전자계가 발생되는 것에 악영향을 주는 일이 없다.
상기 코일(13A)에 의하여 처리실 내의 플라즈마 발생영역에 발생되는 고주파 회전 전자계(B)는, 수평으로 뻗고, 상기 서셉터(12)와 가스 공급부(11C) 사이에 형성되는 전계(E)와 직교한다.
이 회전자계와, 상기 안테나(13A)로부터의 전자파 및/또는 전계의 작용에 의하여 처리가스는 전리되어 플라즈마가 발생되고, 또 플라즈마의 고밀도화가 달성된다. 따라서 0.005Torr 이하의 고진공중이라도 처리용 가스로부터 균질하면서도 고밀도의 플라즈마가 발생될 수 있다.
이 플라즈마의 발생에는, 수평회전 자계(B)를 사용하고 있기 때문에, 자력선이 웨이퍼를 가로지르는 일이 없고, 이 때문에 웨이퍼에 소용돌이 전류가 생기지 않는다.
만약, 소용돌이 전류가 웨이퍼에 발생하면 서셉터를 통하여 불필요한 전류가 웨이퍼로부터 흐르고, 예를 들면 배선의 끊어짐 등의 장애가 일어날 우려가 있다.
이어서, 상기 플라즈마 처리장치를 사용한 플라즈마 처리의 동작에 대하여 설명한다.
우선 처리용기(11) 내의 서셉터(12) 상에 반도체 웨이퍼(W)를, 피처리면을 위로 하여 수평으로 재치한 후, 처리용기(11) 내를 배기구(11F)를 통하여 고진공, 예를 들면 0.005Torr 이하로 한 후, 이 배기를 계속하면서, 가스 공급부(11C)의 가스 공급 구멍(11E)으로부터 처리용기(11)내에, 에칭가스나 막형성용 가스와 같은 처리용 가스를 공급하고, 또 고주파 플라즈마 발생수단(13)의 코일(13A)에 고주파 전압을 인가한다. 이 결과, 처리실에는 고주파 회전 전자계(B)가 발생됨과 동시에, 전자파가 공급되어, 처리용 가스의 플라즈마가 발생된다.
이 때에, 각각의 코일(13A)로부터의 고주파 전압에는 각각 반시계 방향으로 π/2의 위상차가 있기 때문에, 고주파 회전 전자계(B)의 인가방향도 반시계 방향으로 차례로 회전하여 인가부(11A) 내에서 회전하는 고주파 전자계(B), 즉 고주파 회전 전자계(B)가 형성된다.
이 고주파 회전 전자계(B)의 작용에 의하여 플라즈마는 균질화된다.
이 때, 고주파 전원(17)에 의하여 서셉터(12)에 고주파 전압이 인가되어 있기 때문에, 플라즈마 속으로부터 전자의 주입이 이루어져 서셉터(12)가 마이너스 전위로 자기 바이어스되며, 이것에 의하여 서셉터(12)와 대향하는 가스 공급부(11C)의 내면과의 사이에 전계(E)를 형성한다.
인가부(11A) 내에서는 이미 형성되어 있는 수평방향의 고주파 회전 전자계(B)와, 이것에 직교하는 전계(E)와의 작용에 의하여 플라즈마 속의 전자에 E × B 드리프트가 유기되고, 이 드리프트에 의하여 플라즈마가 보다 고밀도화된다. 이와 같이 하여 형성된 고밀도이고 균질한 플라즈마가 서셉터(12) 상의 반도체 웨이퍼(W)를 덮고, 플라즈마 전위와 서셉터(12)의 자기 바이어스 전위와의 전위차에 의하여 플라즈마 속의 이온이 반도체 웨이퍼(W) 측으로 인출되어 반도체 웨이퍼(W)에 대하여 소정의 플라즈마 처리를 한다. 이 때, 처리실 내에는 배기가 계속하면서, 가스 공급부(11C)로부터 연속적으로 가스가 공급되어 있기 때문에, 플라즈마 처리가스가 순차 보충되며 균질한 플라즈마가 유지됨과 동시에, 이미 발생한 플라즈마를 아래쪽으로 공급하여 플라즈마 처리를 촉진시킨다.
이상 설명한 바와 같이, 본 실시예에 의하면, 처리용기(11) 외부의 고주파 플라즈마 발생수단(13)에 의하여, 처리용기(11)의 인가부(11A) 내에 고주파 회전 전자계(B)를 형성함과 동시에, 전자파를 공급하여 플라즈마를 발생시키도록 했기 때문에, 종래와 같이 평행평판 전극사이의 캡 길이에 제약되는 일이 없이 플라즈마를 발생시킬 수 있으며, 처리용기(11) 내를 종래보다 1자리수 내지 2 자리수정도, 예를 들면 0.005Torr 이하의 고진공으로 하여도 플라즈마를 발생시킬 수 있으며, 그 뿐만 아니라 초미세 가공의 요구에 부응한 플라즈마 처리를 할 수가 있다.
또, 처리용기(11) 내에 플라즈마 발생용의 전극이 존재하지 않기 때문에, 처리용기(11) 내에서 불순물을 발생할 우려가 없으며, 반도체 웨이퍼(W)를 오염하는 일도 없다. 또, 본 실시예에 의하면 반도체 웨이퍼(W)의 처리중, 전자계가 회전하고 있기 때문에, 항상 균질한 플라즈마를 유지할 수 있고, 이에 따라 반도체 웨이퍼(W) 전면에 대하여 균일한 플라즈마 처리를 할 수 있다.
또, 본 실시예에 의하면, 고주파 회전 전자계(B)를 인가하는 인가부(11A)를 석영 등의 절연성 재료에 의하여 형성했기 때문에, 고주파 플라즈마 발생수단(13)으로부터의 전자계(B)가 인가부(11A)에 의하여 차단되는 일이 없이 인가부(11A) 내에서 양호한 고주파 회전 전자계(B)를 형성할 수 있고, 균질한 플라즈마를 유지할 수 있다.
또, 처리용기(11)의 인가부(11A) 이외를 알루미늄 등의 도전성 재료에 의하여 형성하였기 때문에, 이들 부분에서의 대전을 방지하여 안전성을 확보할 수 있으며, 또 가공성도 우수하다. 또 상기 고주파 회전 전자계(B)에서는, 자력선이 반도체 웨이퍼의 처리면과 평행하게 뻗어 있고, 웨이퍼와는 교차하지 않기 때문에, 웨이퍼에 소용돌이 전류가 거의 발생되지 않는다.
또, 상기 실시예에서는, 4 개의 코일(13A) 각각에 고주파 전원(17)을 개별로 접속하여 구성된 고주파 플라즈마 발생수단(13)에 대하여 설명하였으나, 서로 대향하여 180도 떨어뜨려서 한 쌍의 코일(13A)을 배치하고, 이들 코일에 180도 위상차를 가지는 고주파 전압을 인가하여도 좋다.
이와 같은 장치에서는, 인가부(11A) 내에는 회전 전자는 형성되지 않으나, 수평방향으로 진동하는 자계가 형성되어 플라즈마를 회전자계와 같이 발생시킬 수 있다.
이 경우에 코일을 각각 반대방향으로 감으면, 공통의 고주파 전원이 사용될 수 있다. 또, 제 4 도에 나타낸 바와 같이, 4 개의 코일중, 서로 대향하여 배치한 2 개의 코일로 1쌍을 이루며, 이들 1쌍을 이루는 것 상호를 1 개의 고주파 전원(13B)에 결선하며, 다른 한 쌍을 이루는 코일(13A)에 대해서도 같이 1 개의 고주파 전원(13B)에 접속하여 2 개의 고주파 전원을 동일 주파수로 π/2의 위상차로 구동하여 고주파 회전 전자계를 발생하도록 하여도 좋다.
이상 설명한 바와 같이, 본 발명의 장치에 사용하고 있는 고주파 자계 발생장치는, 피처리면에 대략 평행한 면내에서, 회전이나 진동과 같은 이동을 고속으로 하는 전자계를 형성할 수 있는 것이라면 어떠한 것이라도 좋고, 예를 들면 120도 떨어져서 배치한 3 개의 코일에 각각 2 π/3의 위상차를 가지는 고주파 전압을 인가하여 이들의 코일에 의하여 고주파 회전 전자계를 발생하도록 하여도 좋다. 코일의 수는 4개 이상이어도 좋다.
상기 실시예에서는, 수직형의 처리용기를 사용하였으나, 피처리체는, 반드시 수평으로 지지할 필요가 없으며, 예를 들면 거의 수직으로 지지하여 처리하는 수평형의 처리용기를 채택하여도 좋다. 이들의 처리 용기에서는, 여러 개의 피처리체를 수용하여 동시에 처리하도록 하여도 좋다.

Claims (11)

  1. 둘레벽과, 상단벽과, 하단벽과, 이들 벽으로 둘러싸인 처리실을 가지는 처리용기와, 이 처리실 내에 피처리면을 가지는 피처리체를 지지하는 수단과, 이 처리실 내에 처리가스를 공급하는 수단과, 처리용기 외부에 설치되고, 처리실 내에, 고주파 전압이 인가됨으로써 상기 피처리면에 거의 수평면을 따라서 상기 고주파에 동기하여 이동하는 전자계를 형성함과 동시에, 전자파를 공급하여 상기 처리가스의 플라즈마를 발생시키기 위한 플라즈마 발생수단을 구비하는 플라즈마 처리장치.
  2. 제1항에 있어서, 지지하는 수단은, 상기 처리용기의 일단과 피처리면이 대면하도록 피처리체를 지지하는 서셉터를 가지며, 상기 플라즈마 발생수단은, 상기 처리용기의 둘레벽을 따라서 서로 소정간격을 가져서 배치된 여러 개의 고주파 코일과, 이들 고주파 코일에 다른 위상의 고주파 전압을 인가하는 전원수단을 가지는 플라즈마 처리장치.
  3. 제1항에 있어서, 지지하는 수단은, 상기 처리용기의 일단과 피처리면이 대면하도록 피처리체를 지지하는 서셉터를 가지며, 상기 플라즈마 발생수단은, 상기 처리용기의 둘레벽을 따라서 서로 소정간격을 가져서 배치된 적어도 3 개의 고주파 코일과, 이들 고주파 코일에 2π/코일수의 위상차의 고주파 전압을 각각 인가하여, 회전 전자계(B)를 처리실내에 형성하는 전원수단을 가지는 플라즈마 처리장치.
  4. 제1항에 있어서, 지지하는 수단은, 상기 처리용기의 일단과 피처리면이 대면하도록 피처리체를 지지하는 서셉터를 가지며, 상기 플라즈마 발생수단은, 상기 처리용기의 둘레벽을 따라서 서로 대향하도록 배치된 2 개의 고주파 코일과, 이들 고주파 코일에 180도의 위상차의 고주파 전압을 인가하여 회전전자계를 처리실내에 형성하는 전원수단을 가지는 플라즈마 처리장치.
  5. 제1항에 있어서, 상기 평면에 수직 방향의 고주파 전계를 처리실 내에 형성하는 수단을 더욱 구비하는 플라즈마 처리장치.
  6. 제1항에 있어서, 상단벽과 피처리체 사이로 한정되는 플라즈마 발생영역내에 수평 전자계를 발생시키는 수단과, 상기 플라즈마 발생영역에서 상기 수평자계와 공동하여, 플라즈마 발생영역에, 상기 처리가스의 플라즈마를 발생시키기 위한 수직전자계를 발생시키는 수단을 더 포함하며, 상기 지지하는 수단은, 상기 처리용기의 일단과 피처리면이 대면하도록 피처리체를 지지하는 서셉터를 가지며, 상기 플라즈마 발생수단은, 상기 처리용기의 둘레벽의 바깥에 이것을 따라서 서로 소정간격을 가져서 배치된 여러 개의 고주파 코일과, 이들 고주파 코일에 다른 위상의 고주파 전압을 인가하는 전원수단을 가지며, 상기 수직 전계 발생수단은, 상기 서셉터와 상기 상단벽 사이에 고주파 전계를 인가하는 수단을 가지는 플라즈마 처리장치.
  7. 제6항에 있어서, 상기 상단벽과 하단벽은, 도전체로 형성되어 있고, 상기 둘레벽은, 플라즈마 발생영역을 규정하며, 유전체로 형성된 상부와, 이 상부와 하단벽 사이에 위치하며, 도전체로 규정된 하부를 가지고, 상기 여러 개의 고주파 코일은, 상부 바깥면을 따라서 배치되어 있는 플라즈마 처리장치.
  8. 제7항에 있어서, 상기 고주파 전계 인가수단은, 상기 상단벽을 접지하며, 상기 서셉터를 마이너스(負)로 인가하는 수단을 가지는 플라즈마 처리장치.
  9. 처리될 표면을 가지는 피처리체가 수용되는 처리용기와, 처리용기 내에, 고주파 전압이 인가됨으로써 상기 피처리면에 대략 수평한 면을 따라서 고속으로 회전하는 전자계를 형성함과 동시에, 전자파를 공급하여, 상기 처리가스의 플라즈마를 발생시키기 위한 플라즈마 발생수단과, 처리중에 처리용기 내를 0.005Torr 로 감압하는 수단을 구비하는 플라즈마 처리장치.
  10. 제9항에 있어서, 처리용기는, 유전체로 형성된 둘레벽을 가지며, 상기 플라즈마 발생수단은, 이 둘레벽의 바깥면에, 이것을 따라서 배치된 여러 개의 고주파 코일을 가지며, 이 고주파 코일과 둘레벽 사이에 배치된 정전 결합 방지 시이트를 더욱 구비하는 플라즈마 처리장치.
  11. 제9항에 있어서, 상기 플라즈마 발생수단이 주변 벽주위에 벽을 따라 배치되고, 각각의 상기 고주파 코일이 주변벽을 따라 연장되기 위하여 구부러진 코일이며, 이 고주파 코일과 둘레벽 사이에 배치된 정전 결합 방지 시이트를 더욱 구비하는 플라즈마 처리장치.
KR1019940004203A 1993-03-06 1994-03-04 플라즈마처리장치 KR100294529B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP7122593 1993-03-06
JP93-71225 1993-03-06

Publications (2)

Publication Number Publication Date
KR940022771A KR940022771A (ko) 1994-10-21
KR100294529B1 true KR100294529B1 (ko) 2001-10-24

Family

ID=13454531

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940004203A KR100294529B1 (ko) 1993-03-06 1994-03-04 플라즈마처리장치

Country Status (3)

Country Link
US (1) US5554223A (ko)
KR (1) KR100294529B1 (ko)
TW (1) TW249313B (ko)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5874704A (en) * 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
JP3437376B2 (ja) * 1996-05-21 2003-08-18 キヤノン株式会社 プラズマ処理装置及び処理方法
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US6112695A (en) 1996-10-08 2000-09-05 Nano Scale Surface Systems, Inc. Apparatus for plasma deposition of a thin film onto the interior surface of a container
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
US6180954B1 (en) 1997-05-22 2001-01-30 Eaton Corporation Dual-walled exhaust tubing for vacuum pump
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6143124A (en) 1997-08-22 2000-11-07 Micron Technology, Inc. Apparatus and method for generating a plasma from an electromagnetic field having a lissajous pattern
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
JP2000114246A (ja) * 1998-08-07 2000-04-21 Ulvac Seimaku Kk ドライエッチング方法および装置、フォトマスクおよびその作製方法、ならびに半導体回路およびその製作方法
JP3608416B2 (ja) * 1999-02-02 2005-01-12 日新電機株式会社 プラズマ源
US6344420B1 (en) * 1999-03-15 2002-02-05 Kabushiki Kaisha Toshiba Plasma processing method and plasma processing apparatus
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
EP1194032A1 (en) * 1999-06-24 2002-04-10 Wisconsin Alumni Research Foundation Cold-plasma treatment of seeds to remove surface materials
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
JP3385528B2 (ja) * 1999-07-06 2003-03-10 日本電気株式会社 ドライエッチング装置とドライエッチング方法
JP3953247B2 (ja) * 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US20040144492A1 (en) * 2001-06-01 2004-07-29 Taro Ikeda Plasma processing device
WO2003025971A2 (en) * 2001-09-14 2003-03-27 Tokyo Electron Limited Plasma processing apparatus with coil magnet system
US20030168012A1 (en) * 2002-03-07 2003-09-11 Hitoshi Tamura Plasma processing device and plasma processing method
KR100469580B1 (ko) * 2002-05-15 2005-02-02 최대규 플라즈마 세정 장치
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
JP4269263B2 (ja) * 2003-07-01 2009-05-27 富士電機デバイステクノロジー株式会社 硬質カーボン膜の形成方法および装置
JP4657620B2 (ja) * 2004-04-13 2011-03-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100599092B1 (ko) * 2004-11-29 2006-07-12 삼성전자주식회사 구동 주파수 조절에 의한 전자기유도 가속장치
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
JP5391659B2 (ja) * 2008-11-18 2014-01-15 東京エレクトロン株式会社 プラズマ処理装置
JP5155235B2 (ja) * 2009-01-15 2013-03-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
JP5572329B2 (ja) * 2009-01-15 2014-08-13 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
ES2513866T3 (es) 2009-05-13 2014-10-27 Sio2 Medical Products, Inc. Revestimiento e inspección de recipientes
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
CN103002649B (zh) * 2011-09-13 2016-09-14 中微半导体设备(上海)有限公司 一种电感耦合式的等离子体处理装置及其基片处理方法
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
WO2014071061A1 (en) 2012-11-01 2014-05-08 Sio2 Medical Products, Inc. Coating inspection method
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
AU2013352436B2 (en) 2012-11-30 2018-10-25 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition on medical syringes, cartridges, and the like
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
KR20140095825A (ko) * 2013-01-25 2014-08-04 삼성전자주식회사 플라즈마 설비
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
CN110074968B (zh) 2013-03-11 2021-12-21 Sio2医药产品公司 涂布包装材料
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
EP3693493A1 (en) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
JP5977853B1 (ja) * 2015-03-20 2016-08-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
EP3337915B1 (en) 2015-08-18 2021-11-03 SiO2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
CN108575042B (zh) * 2017-03-09 2021-04-09 北京北方华创微电子装备有限公司 一种线圈、介质筒和等离子体腔室
WO2020141806A2 (ko) * 2018-12-31 2020-07-09 인투코어테크놀로지 주식회사 플라즈마 발생 장치 및 그 동작 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0312923A (ja) * 1989-06-12 1991-01-21 Hitachi Ltd プラズマ処理装置
JPH03222415A (ja) * 1990-01-29 1991-10-01 Applied Materials Japan Kk 回転磁界を用いた放電反応装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3875068A (en) * 1973-02-20 1975-04-01 Tegal Corp Gaseous plasma reaction apparatus
US4115184A (en) * 1975-12-29 1978-09-19 Northern Telecom Limited Method of plasma etching
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4810935A (en) * 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
US4740268A (en) * 1987-05-04 1988-04-26 Motorola Inc. Magnetically enhanced plasma system
US4792732A (en) * 1987-06-12 1988-12-20 United States Of America As Represented By The Secretary Of The Air Force Radio frequency plasma generator
JPH03170684A (ja) * 1989-11-28 1991-07-24 Tokyo Ohka Kogyo Co Ltd プラズマ処理方法
US5330606A (en) * 1990-12-14 1994-07-19 Matsushita Electric Industrial Co., Ltd. Plasma source for etching
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
KR970005035B1 (ko) * 1992-03-31 1997-04-11 마쯔시다덴기산교 가부시기가이샤 플라즈마발생방법 및 그 장치
JPH0613196A (ja) * 1992-06-25 1994-01-21 Matsushita Electric Ind Co Ltd プラズマ発生方法および発生装置
US5440206A (en) * 1992-06-26 1995-08-08 Tokyo Electron Ltd. Plasma processing apparatus comprising means for generating rotating magnetic field
US5404079A (en) * 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5487785A (en) * 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0312923A (ja) * 1989-06-12 1991-01-21 Hitachi Ltd プラズマ処理装置
JPH03222415A (ja) * 1990-01-29 1991-10-01 Applied Materials Japan Kk 回転磁界を用いた放電反応装置

Also Published As

Publication number Publication date
TW249313B (ko) 1995-06-11
KR940022771A (ko) 1994-10-21
US5554223A (en) 1996-09-10

Similar Documents

Publication Publication Date Title
KR100294529B1 (ko) 플라즈마처리장치
KR100884416B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
KR100403074B1 (ko) 마그네트론 플라즈마 처리 장치
KR100515562B1 (ko) 링의 형상의 고밀도 플라스마의 생성원 및 그의 생성 방법
CN109755091B (zh) 等离子体处理装置
US20040168771A1 (en) Plasma reactor coil magnet
JPH11135438A (ja) 半導体プラズマ処理装置
JP2012018921A (ja) プラズマ発生装置
JPH06267903A (ja) プラズマ装置
JP3197739B2 (ja) プラズマ処理装置
CN110770880B (zh) 等离子处理装置
US6824363B2 (en) Linear inductive plasma pump for process reactors
US6835279B2 (en) Plasma generation apparatus
JP3294839B2 (ja) プラズマ処理方法
JPH11283926A (ja) プラズマ処理装置
JP2000208298A (ja) 誘導結合型プラズマ生成装置
KR100625319B1 (ko) 유도 결합 플라즈마 처리 장치
JP3108556B2 (ja) プラズマ処理装置
KR100404723B1 (ko) 낮은 종횡비를 갖는 유도결합형 플라즈마 발생장치
JP4223143B2 (ja) プラズマ処理装置
JP2003318165A (ja) プラズマ生成用ポイントカスプ磁界を作るマグネット配列およびプラズマ処理装置
JP2001291704A (ja) 処理装置、プラズマ処理装置及びこれらのクリーニング方法
KR100488362B1 (ko) 저주파형 유도결합 플라즈마 발생장치
KR200240816Y1 (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120322

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20130321

Year of fee payment: 13

EXPY Expiration of term