JPH1145996A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JPH1145996A
JPH1145996A JP20183297A JP20183297A JPH1145996A JP H1145996 A JPH1145996 A JP H1145996A JP 20183297 A JP20183297 A JP 20183297A JP 20183297 A JP20183297 A JP 20183297A JP H1145996 A JPH1145996 A JP H1145996A
Authority
JP
Japan
Prior art keywords
trench
oxide film
substrate
insulating film
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP20183297A
Other languages
English (en)
Other versions
JP3125719B2 (ja
Inventor
Yukishige Saito
幸重 斎藤
Kenichi Uesawa
兼一 上沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP09201832A priority Critical patent/JP3125719B2/ja
Publication of JPH1145996A publication Critical patent/JPH1145996A/ja
Application granted granted Critical
Publication of JP3125719B2 publication Critical patent/JP3125719B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】 逆狭チャネル効果を抑制できる半導体装置の
製造方法を提供する。 【解決手段】 シリコン基板10を直接窒化すること、
あるいは、シリコン窒化膜12等をマスクとしてトレン
チ素子分離側壁及び底面のみに選択的に窒素イオン注入
を行うことで、シリコン基板中へ窒素原子16を拡散さ
せ、これによりチャネルボロン17のトレンチ埋め込み
酸化膜15への拡散を抑制する半導体装置の製造方法、
及びその方法により得られる半導体装置。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法、及びその方法により得られる半導体装置に関し、
特に半導体基板上にトレンチ素子分離構造を有する半導
体装置の製造方法に関する。
【0002】
【従来の技術】従来、トレンチ埋め込み酸化膜の平坦化
にCMP(Chemical Mechanical
Polishing)法を用いたトレンチ素子分離の形
成方法として、例えば、1989年、アイ・イー・イー
・イー・インターナショナル・エレクトロンデバイセス
・ミーティング61項〜64項や1994年、シンポジ
ウム・オン・ブイエルエスアイ・テクノロジィーの97
項〜98項に示されるような方法がある。
【0003】この従来法を図3を参照して説明する。ま
ず、図3(A)に示されるように、P型シリコン基板3
0上に堆積したシリコン酸化膜31及びシリコン窒化膜
32をフォトリソグラフィ及び反応性イオンエッチング
法によりパターニングし、続いて、シリコン酸化膜31
及びシリコン窒化膜32をマスクとして、反応性イオン
エッチングによりトレンチ溝33を形成する。さらに、
トレンチ溝33内を熱酸化してシリコン酸化膜34を形
成する。次に、図3(B)に示されるように、CVD法
を用いてトレンチ埋め込み酸化膜35を堆積する。次
に、図3(C)に示されるようにCMPを用いてトレン
チ埋め込み酸化膜35の平坦化を行い、トレンチ素子分
離を形成する。
【0004】次に、図3(D)に示されるように、シリ
コン窒化膜32をウェットエッチングにより除去し、シ
リコン基板30を露出させる。次に、図3(E)に示さ
れるように、トランジスタが形成されるシリコン基板3
0中にイオン注入によりPウェル領域を形成し、さらに
nMOSFETにおけるしきい値電圧制御の為の不純物
(ボロン)36をイオン注入により打ち込む。その後、
図3(F)に示されるようにゲート酸化膜37、ゲート
ポリシリコン38を形成し、配線工程を経てトレンチ分
離MOSFETが完成する。
【0005】
【発明が解決しようとする課題】このような従来法で
は、図3(F)に示されるように、ゲート酸化の際にチ
ャネル端に存在するチャネル不純物(特にボロン)36
がトレンチ埋め込み酸化膜35中へ拡散する為、チャネ
ル端でチャネル濃度が低下し、トランジスタのゲート幅
が短くなるとしきい値電圧が低下する現象(逆狭チャネ
ル効果)が発生し、微細化及び高集積化を図る上での障
害となっている。
【0006】本発明は、この様な課題を解決すべくなさ
れたものであり、チャネル不純物がトレンチ埋め込み酸
化膜中へ拡散するのを抑制し、これにより逆狭チャネル
効果を抑制できる半導体装置の製造方法、及びその方法
により得られる微細化及び高集積化された半導体装置を
提供することを目的とする。
【0007】
【課題を解決するための手段】本発明では、分離溝を有
し且つ素子領域以外の表面に絶縁膜が形成された半導体
基板の表面を窒化することにより、少なくとも該素子領
域に窒素含有領域を形成する工程と、該素子領域の表面
から基板中に不純物を導入する工程とを含むことを特徴
とする半導体装置の製造方法を提供する。
【0008】また、前記記述において、半導体基板の表
面を窒化する方法として、急速熱窒化法を用いることを
特徴とする半導体装置の製造方法を提供する。
【0009】また、前記記述において、半導体基板の表
面に分離溝を形成した後に第1の絶縁膜を基板上に形成
する工程と、素子領域上の第1の絶縁膜を選択的に除去
する工程とにより、分離溝を有し且つ素子領域以外の表
面に絶縁膜が形成された半導体基板を製造することを特
徴とする半導体装置の製造方法を提供する。
【0010】また、前記記述において、素子領域上の絶
縁膜を選択的に除去する方法として、化学的機械研磨法
を用いることを特徴とする半導体装置の製造方法を提供
する。
【0011】また、分離溝を有し且つ該分離溝以外の表
面に絶縁膜が形成された半導体基板の表面に窒素を拡散
することにより、少なくとも該分離溝の側壁に窒素含有
領域を形成する工程と、該分離溝上に絶縁膜を形成し且
つ素子領域上の絶縁膜を選択的に除去する工程と、該素
子領域の表面から基板中に不純物を導入する工程とを含
むことを特徴とする半導体装置の製造方法を提供する。
【0012】また、前記記述において、半導体基板の表
面の窒素の拡散を、回転斜めイオン注入により行うこと
を特徴とする半導体装置の製造方法を提供する。
【0013】また、前記記述において、半導体基板上に
第2の絶縁膜と第3の絶縁膜を形成した後に分離溝を形
成する工程により、分離溝を有し且つ該分離溝以外の表
面に絶縁膜が形成された半導体基板を製造し、また該分
離溝上に絶縁膜を形成し且つ素子領域上の絶縁膜を選択
的に除去する工程は、基板上に第1の絶縁膜を形成する
工程と、素子領域上の全ての絶縁膜を選択的に除去する
工程であることを特徴とする半導体装置の製造方法を提
供する。
【0014】また、前記記述において、素子領域上の絶
縁膜を選択的に除去する方法として、化学的機械研磨法
を用いることを特徴とする半導体装置の製造方法を提供
する。
【0015】また、少なくとも半導体基板と、該半導体
基板上に形成された素子分離構造とを有し、該半導体基
板の素子領域に不純物が導入されている半導体装置であ
って、該不純物が該素子分離構造側に拡散するのを抑制
するための窒素含有領域が、該半導体基板の素子領域又
は分離溝の側壁に形成されていることを特徴とする半導
体装置を提供する。
【0016】本発明は、窒素がボロンやヒ素等のドーパ
ントの熱拡散に及ぼす作用に基づくものである。すなわ
ち、シリコン基板中に拡散したボロンでは拡散速度が約
5×10-14cm2/secであるが、基板中の窒素濃度
を高めることによりボロンの拡散速度は1〜2桁程度低
下する。これは、基板中の窒素がボロンやヒ素の拡散を
抑制する作用を奏することによると考えられる。図4
は、窒素濃度とボロンの拡散速度の関係を示すグラフで
ある。
【0017】本発明においては、この現象を利用し、シ
リコン基板を直接窒化すること、あるいは、シリコン窒
化膜等をマスクとしてトレンチ素子分離側壁(及び底面
等)に選択的に窒素イオン注入を行うことで、シリコン
基板中へ窒素原子を拡散させ、この窒素原子の作用によ
り、ドーパントが素子分離領域の絶縁膜中に拡散するこ
とを防ぐことができる。
【0018】
【発明の実施の形態】次に、本発明の好適な実施の形態
について図面を参照して説明する。図1及び図2は、本
発明の実施の形態であるMOSFETの製造方法の各工
程の一部断面図である。
【0019】先ず、図1を用いて、本発明の半導体装置
の製造方法の第1の実施の形態を説明する。図1(A)
に示されるように、P型シリコン基板10上に厚さ5〜
100nmのシリコン酸化膜11と厚さ50〜1000
nmのシリコン窒化膜12を形成する。続いて、シリコ
ン酸化膜11とシリコン窒化膜12をマスクとして、深
さ100〜1000nmのトレンチ溝13を形成し、さ
らに、トレンチ内を熱酸化してシリコン酸化膜14を形
成する。次に、図1(B)に示されるように、熱CVD
法あるいはバイアスCVD法によりトレンチ埋め込み酸
化膜15をトレンチ深さの1〜3倍の膜厚だけ堆積す
る。次に、図1(C)に示されるように、CMPを用い
てトレンチ埋め込み酸化膜15の平坦化を行いトレンチ
素子分離を形成する。
【0020】次に、図1(D)に示されるように、シリ
コン窒化膜12を除去し、シリコン基板10を露出させ
る。次に、図1(E)に示されるように、シリコン基板
が露出された状態でNH3、N2O、NO等の窒素を含有
するガス中で500〜1100℃にて熱処理を行う。こ
のとき、シリコン基板表面が窒化されると同時に窒素1
6がシリコン基板表面に拡散した状態になる。この窒素
16の含有量は5〜25atomic%程度が好ましい。次
に、図1(F)に示されるように、しきい値電圧制御用
のチャネルボロン17注入を行う。次に、図1(G)に
示されるように、ゲート酸化膜17及びゲートポリシリ
コン18を形成し、配線工程を経てトレンチ分離MOS
FETが完成する。
【0021】次に、図2を用いて、本発明の半導体装置
の製造方法の第2の実施の形態を説明する。先ず、図2
(A)に示されるように、P型シリコン基板20上に厚
さ3〜300nmのシリコン酸化膜21と厚さ30〜3
000nmのシリコン窒化膜22を形成する。続いて、
シリコン酸化膜21とシリコン窒化膜22をマスクとし
て、深さ200〜2000nmのトレンチ溝23を形成
した後、シリコン窒化膜22をマスクとして窒素25を
5〜60゜の回転斜めイオン注入によりトレンチ分離側
面及びトレンチ分離底面にドーズ量1E14〜1E16
cm-2だけドーピングする。次に、図2(B)に示され
るように、トレンチ埋め込み酸化膜26を堆積した後、
図2(C)に示されるように、CMPを用いてトレンチ
埋め込み酸化膜26の平坦化を行いトレンチ素子分離を
形成する。次に、図2(D)に示されるように、シリコ
ン窒化膜22を除去する。続いて、図2(E)に示され
るようにチャネルボロン27をドーピングした後、図2
(F)に示されるようにゲート酸化膜27及びゲートポ
リシリコン28を形成し、配線工程を経てトレンチ分離
MOSFETが完成する。
【0022】
【実施例】以下、本発明を実施例により更に詳細に説明
する。
【0023】<実施例1>図1の工程に従い、以下の通
り本発明を実施した。
【0024】図1(A)に示されるように、P型シリコ
ン基板10上に厚さ10nmのシリコン酸化膜11と厚
さ200nmのシリコン窒化膜12を形成した。続い
て、シリコン酸化膜11とシリコン窒化膜12をマスク
として用い、フォトリソグラフィと反応性イオンエッチ
ングにより深さ500nmのトレンチ溝13を形成し、
さらに、1100℃、H2−O2雰囲気中でトレンチ内を
熱酸化し、厚さ20nmのシリコン酸化膜14を形成し
た。次に、図1(B)に示されるように、熱CVD法あ
るいはバイアスCVD法により、1000nmのトレン
チ埋め込み酸化膜15を堆積した。次に、図1(C)に
示されるように、CMP技術を用い、シリコン窒化12
が露出されるまで埋め込み酸化膜15の平坦化を行いト
レンチ素子分離を形成した。
【0025】次に、図1(D)に示されるように、ウェ
ットエッチングによりシリコン窒化膜12を除去し、シ
リコン基板10を露出させた。次に、図1(E)に示さ
れるように、シリコン基板10が露出された状態でNH
3ガス中で急速熱窒化処理を行った。あるいは窒化ガス
として、N2O、NO等のガスでも良い。この際、熱処
理温度は1000℃で行った。このとき、シリコン基板
表面が窒化されると同時に窒素16が15atomic%程度
シリコン基板表面に拡散した状態になった。次に、図1
(E)に示されるように、しきい値電圧制御用のチャネ
ルボロン17をドーピングした。次に、図1(G)に示
されるように、ゲート酸化膜17及びゲートポリシリコ
ン膜18を形成し、配線工程を経てトレンチ分離MOS
FETが完成した。
【0026】<実施例2>図2の工程に従い、以下の通
り本発明を実施した。
【0027】図2(A)に示されるように、P型シリコ
ン基板20上に厚さ15nmのシリコン酸化膜21と厚
さ300nmのシリコン窒化膜22を形成した。続い
て、シリコン酸化膜21とシリコン窒化膜22をマスク
として用い、フォトリソグラフィと反応性イオンエッチ
ングにより深さ800nmのトレンチ溝23を形成した
後、シリコン窒化膜22をマスクとして窒素25を20
゜の回転斜めイオン注入によりトレンチ分離側面及びト
レンチ分離底面にドーズ量5E14cm-2でドーピング
した。次に、図2(B)に示されるように、熱CVD法
あるいはバイアスCVD法により、1200nmのトレ
ンチ埋め込み酸化膜26を堆積した。次に、図2(C)
に示されように、CMP技術を用いてシリコン窒化膜2
2が露出されるまで埋め込み酸化膜26の平坦化を行い
トレンチ素子分離を形成した。次に、図2(D)に示さ
れるように、シリコン窒化膜22を除去した。続いて、
図2(E)に示されるように、しきい値電圧制御用のチ
ャネルボロン27をドーピングした後、図2(F)に示
されるようにゲート酸化膜27及びゲートポリシリコン
膜28を形成し、配線工程を経てトレンチ分離MOSF
ETが完成した。
【0028】
【発明の効果】以上説明した様に、本発明によれば、シ
リコン基板を直接窒化すること、あるいは、シリコン窒
化膜等をマスクとしてトレンチ素子分離側壁及び底面等
に選択的に窒素イオン注入を行うことで、シリコン基板
中へ窒素原子を拡散させ、この窒素原子の作用により、
チャネル不純物がトレンチ埋め込み酸化膜中へ拡散する
のを抑制でき、逆狭チャネル効果を抑制できる。
【図面の簡単な説明】
【図1】(A)〜(G)は、本発明の製造方法の第1の
実施形態の各工程を示す一部断面図である。
【図2】(A)〜(F)は、本発明の製造方法の第2の
実施形態の各工程を示す一部断面図である。
【図3】(A)〜(F)は、従来の製造方法の各工程を
示す一部断面図である。
【図4】窒素濃度とボロンの拡散速度の関係を示すグラ
フである。
【符号の説明】
10、20、30 シリコン基板 11、21、31 シリコン酸化膜 12、22、32 シリコン窒化膜 13、23、33 トレンチ溝 14、24、34 シリコン酸化膜 15、26、35 トレンチ埋め込み酸化膜 16、25 窒素 17、27、36 チャネルボロン 18、28、37 ゲート酸化膜 19、29、38 ゲートポリシリコン

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】 分離溝を有し且つ素子領域以外の表面に
    絶縁膜が形成された半導体基板の表面を窒化することに
    より、少なくとも該素子領域に窒素含有領域を形成する
    工程と、該素子領域の表面から基板中に不純物を導入す
    る工程とを含むことを特徴とする半導体装置の製造方
    法。
  2. 【請求項2】 半導体基板の表面を窒化する方法とし
    て、急速熱窒化法を用いることを特徴とする請求項1記
    載の半導体装置の製造方法。
  3. 【請求項3】 半導体基板の表面に分離溝を形成した後
    に第1の絶縁膜を基板上に形成する工程と、素子領域上
    の第1の絶縁膜を選択的に除去する工程とにより、分離
    溝を有し且つ素子領域以外の表面に絶縁膜が形成された
    半導体基板を製造することを特徴とする請求項1又は2
    記載の半導体装置の製造方法。
  4. 【請求項4】 素子領域上の絶縁膜を選択的に除去する
    方法として、化学的機械研磨法を用いることを特徴とす
    る請求項3記載の半導体装置の製造方法。
  5. 【請求項5】 分離溝を有し且つ該分離溝以外の表面に
    絶縁膜が形成された半導体基板の表面に窒素を拡散する
    ことにより、少なくとも該分離溝の側壁に窒素含有領域
    を形成する工程と、該分離溝上に絶縁膜を形成し且つ素
    子領域上の絶縁膜を選択的に除去する工程と、該素子領
    域の表面から基板中に不純物を導入する工程とを含むこ
    とを特徴とする半導体装置の製造方法。
  6. 【請求項6】 半導体基板の表面の窒素の拡散を、回転
    斜めイオン注入により行うことを特徴とする請求項5記
    載の半導体装置の製造方法。
  7. 【請求項7】 半導体基板上に第2の絶縁膜と第3の絶
    縁膜を形成した後に分離溝を形成する工程により、分離
    溝を有し且つ該分離溝以外の表面に絶縁膜が形成された
    半導体基板を製造し、また該分離溝上に絶縁膜を形成し
    且つ素子領域上の絶縁膜を選択的に除去する工程は、基
    板上に第1の絶縁膜を形成する工程と、素子領域上の全
    ての絶縁膜を選択的に除去する工程であることを特徴と
    する請求項5又は6記載の半導体装置の製造方法。
  8. 【請求項8】 素子領域上の絶縁膜を選択的に除去する
    方法として、化学的機械研磨法を用いることを特徴とす
    る請求項5〜7の何れか一項記載の半導体装置の製造方
    法。
  9. 【請求項9】 少なくとも半導体基板と、該半導体基板
    上に形成された素子分離構造とを有し、該半導体基板の
    素子領域に不純物が導入されている半導体装置であっ
    て、該不純物が該素子分離構造側に拡散するのを抑制す
    るための窒素含有領域が、該半導体基板の素子領域又は
    分離溝の側壁に形成されていることを特徴とする半導体
    装置。
JP09201832A 1997-07-28 1997-07-28 半導体装置及びその製造方法 Expired - Fee Related JP3125719B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP09201832A JP3125719B2 (ja) 1997-07-28 1997-07-28 半導体装置及びその製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP09201832A JP3125719B2 (ja) 1997-07-28 1997-07-28 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JPH1145996A true JPH1145996A (ja) 1999-02-16
JP3125719B2 JP3125719B2 (ja) 2001-01-22

Family

ID=16447643

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09201832A Expired - Fee Related JP3125719B2 (ja) 1997-07-28 1997-07-28 半導体装置及びその製造方法

Country Status (1)

Country Link
JP (1) JP3125719B2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1128427A2 (en) * 2000-02-23 2001-08-29 Infineon Technologies North America Corp. Manufacturing semiconductor devices
US6291300B1 (en) 1999-09-14 2001-09-18 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor devices
US6333232B1 (en) 1999-11-11 2001-12-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
JP2007526652A (ja) * 2004-03-04 2007-09-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体デバイス製造の間のstiディボット形成を減少する方法
CN109728095A (zh) * 2017-10-31 2019-05-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291300B1 (en) 1999-09-14 2001-09-18 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor devices
US6333232B1 (en) 1999-11-11 2001-12-25 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
EP1128427A2 (en) * 2000-02-23 2001-08-29 Infineon Technologies North America Corp. Manufacturing semiconductor devices
EP1128427A3 (en) * 2000-02-23 2004-10-13 Infineon Technologies North America Corp. Manufacturing semiconductor devices
JP2007526652A (ja) * 2004-03-04 2007-09-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体デバイス製造の間のstiディボット形成を減少する方法
CN109728095A (zh) * 2017-10-31 2019-05-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN109728095B (zh) * 2017-10-31 2023-11-28 台湾积体电路制造股份有限公司 半导体装置及其制造方法

Also Published As

Publication number Publication date
JP3125719B2 (ja) 2001-01-22

Similar Documents

Publication Publication Date Title
US6992357B2 (en) Semiconductor device and method of manufacturing the same
US6245619B1 (en) Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
KR100400323B1 (ko) 반도체 소자의 시모스(cmos) 및 그의 제조 방법
US6900092B2 (en) Surface engineering to prevent epi growth on gate poly during selective epi processing
JP2004111922A (ja) ゲート誘電体および方法
US6225171B1 (en) Shallow trench isolation process for reduced for junction leakage
US6294481B1 (en) Semiconductor device and method for manufacturing the same
US20070052026A1 (en) Semiconductor device and method of manufacturing the same
JP2000332237A (ja) 半導体装置の製造方法
US6514843B2 (en) Method of enhanced oxidation of MOS transistor gate corners
US6483148B2 (en) Self-aligned elevated transistor
JP2000022139A (ja) 半導体装置及びその製造方法
US20050158932A1 (en) Method of manufacturing semiconductor device
JP3125719B2 (ja) 半導体装置及びその製造方法
US5707896A (en) Method for preventing delamination of interlevel dielectric layer over FET P+ doped polysilicon gate electrodes on semiconductor integrated circuits
US5913115A (en) Method for producing a CMOS circuit
US6245638B1 (en) Trench and gate dielectric formation for semiconductor devices
JP3166911B2 (ja) 半導体装置の製造方法
JPH0982812A (ja) 半導体装置の製造方法
JP2968548B2 (ja) 半導体装置及びその製造方法
JP4538978B2 (ja) 半導体装置およびその製造方法
JP3050190B2 (ja) 半導体装置の製造方法
JPH0226034A (ja) 半導体装置の製造方法
JPS62281463A (ja) 集積回路装置の製法
JPH11297992A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees