JPH10125674A - 低誘電率の絶縁膜製造方法 - Google Patents

低誘電率の絶縁膜製造方法

Info

Publication number
JPH10125674A
JPH10125674A JP9278460A JP27846097A JPH10125674A JP H10125674 A JPH10125674 A JP H10125674A JP 9278460 A JP9278460 A JP 9278460A JP 27846097 A JP27846097 A JP 27846097A JP H10125674 A JPH10125674 A JP H10125674A
Authority
JP
Japan
Prior art keywords
source gas
silicon oxide
fluorocarbon
dielectric constant
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9278460A
Other languages
English (en)
Other versions
JP2942820B2 (ja
Inventor
Jin Won Park
ジン・ウン・バク
Young Hie Lee
ヨン・ヒ・リ
Dong Sun Kim
ドン・スン・キム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
LG Semicon Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LG Semicon Co Ltd filed Critical LG Semicon Co Ltd
Publication of JPH10125674A publication Critical patent/JPH10125674A/ja
Application granted granted Critical
Publication of JP2942820B2 publication Critical patent/JP2942820B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/958Passivation layer

Abstract

(57)【要約】 【課題】 金属層間絶縁膜としての使用に適するように
した低誘電率の絶縁膜を提供すること。 【解決手段】 本発明は、二重周波数プラズマ反応炉に
フッ素と炭素の含有された第1ソースガスと、シリコン
酸化膜を含んだ第2ソースガスを供給して、前記反応炉
に配置した基板上にフルオルカーボン/シリコン酸化膜
を形成することを特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は薄膜製造方法に係
り、特に金属層間絶縁膜としての使用に適するようにし
たプラズマCVDによる低誘電率の絶縁膜製造方法に関
する。
【0002】
【従来の技術】一般に、金属層間絶縁膜として用いられ
る薄膜は、誘電率を低くすると、小さいサイズのデバイ
スで動作速度を増加させることができ、且つクロストー
クを減少させることができ、これによりパワー消耗も少
なくすることができる。このように金属層間絶縁膜の誘
電率を低くすることによる長所を生かすために、金属層
間絶縁膜の誘電率を低下させる様々な方法が提案されて
いる。
【0003】従来のシリコン酸化膜SiO2 を使用した
金属層間絶縁膜(IMD)の誘電率は約4である。しか
し、シリコン酸化膜SiO2 を使用した金属層間絶縁膜
は、湿気があると、誘電率が10に高くなることもある
という短所がある。従来の一例の低誘電率の絶縁膜はフ
ッ素が含有したシリコン酸化膜である。このフッ素
(F)が含有したシリコン酸化膜(FxSiOy)の誘電
率は3〜3.7である。米国特許第5,334,552
において、Homaは200℃より高くない温度で、厚
さ2〜3.5μmのフッ素が含有したシリコン酸化膜(F
xSiOy)を含む多層連結構造の絶縁膜製造方法を発表
した。米国特許第5,429,995で、Nishiy
amaはシリコンと酸素とフッ素からなるソースガスを
用いてプラズマCVD法によって堆積して低誘電率と低
吸収性を有する、フッ素が含有したシリコン酸化膜の製
造方法を発表した。
【0004】従来の低誘電率の絶縁膜の他の例は、分極
率の低い分子構造である低極性分子構造をもった有機ポ
リマーである。この低極性分子構造をもった有機ポリマ
ーは低誘電率を有し、スピンコーティングされたポリイ
ミドは3〜3.7 の誘電率を有する。例えば、米国特許
第5,428,102には一連の高い温度、低誘電率の
芳香性ポリイミドが開発されたことが記載されている。
有機ポリマーの使用において重要な難点の一つは温度の
安定性である。
【0005】有機ポリマーを使用した工程で、CVDタ
ングステンは約450℃で堆積し、熱処理は約400℃
で行われるが、大部分の有機ポリマーは極めて高い温度
には耐えられない。様々な有機ポリマーのうちポリテト
ラフルオロエチレン(PTFE)のようなフルオロポリ
マーは一番低い誘電率を有する。例えば、非晶質テフロ
ンの誘電率は1.9 である。しかし、接着性と温度の安
定性が低く、且つ製造に難しさが伴うので、微少電子工
学への使用には不適である。
【0006】従来の別の例は水素化非晶質カーボン膜
(a−C:H)と、フッ素化非晶質カーボン膜(a−C:
F)である。水素化非晶質カーボン膜(a−C:H)は
炭化水素ガスを注入してプラズマで堆積したものであっ
て、互いによく交差結合して高い電気抵抗性と優秀な温
度安定性を備えており、製造も容易である。フッ素化非
晶質カーボン膜(a−C:F)は、EndoとTats
umi(1995)によって,J.ApplPhys.
78(2),1995,pp1370に提案されたもの
であるが、ULSI多層連結構造であって、低い誘電率
をもった層間絶縁膜として互いに交差結合し、PTFE
のような構造を備えている。
【0007】フッ素化非晶質カーボン(a−C:F)
は、13.56 MHzの周波数を有する高周波平行板の
放電反応炉で94%のCF4ガスと6%のCH4からなる
ソースガスを用いて制作すると2.1 の誘電率を有す
る。しかし、フッ素化非晶質カーボン(a−C:F)膜
はこのとき高い応力を受ける。一方、水素化非晶質カー
ボン(a−C:H)膜は厚さ10nmの接着層が要求さ
れ、300℃で熱処理するときに75%減少する現象が
現れる。そして、1MW/cmで10-7A/cm2のリ
ーク電流が発生し、フッ化物によって10-8の圧力を受
けるという短所が生じる。
【0008】また、従来の別の例として、非晶質フルオ
ロカーボン膜(a-fluorocarbon)を説明する。金属層間絶
縁膜として用いられる非晶質フルオロカーボン膜は、米
国特許第5,302,420に発表された。この非晶質
フルオロカーボン膜は、誘電率が2.5 であり、少なく
とも350℃の温度では安定性を有し、プラズマCVD
法で重合して制作される。そして、非晶質フルオロカー
ボン膜は、10〜180mTorrの圧力と−50〜−
700Vのセルフバイアス電圧の下で非対称的な電極の
反応炉で厚さ0.05 〜5μm程度に堆積される。非晶
質フルオロカーボン膜の硬度と温度の安定性は堆積過程
の間の高いイオン衝突によって決定される。
【0009】従来の別の例はプラズマ重合フルオロポリ
マーである。まず、米国特許第4,938,995に記
載されたプラズマ重合フルオロポリマー膜は、ソース単
量体として酸素を含有したフルオロポリマーを用いて製
造され、2.3〜3.3の範囲の低誘電率を有する。さら
に、従来の別の例の低誘電率の絶縁膜は、米国特許第
5,462,784に記載されたフルオロ化ダイアモン
ド類のカーボン(fluorinated diamond-likecarbon)膜
である。前記特許は、フルオロ化ダイアモンド類のカー
ボン膜が形成される表面記録デバイス用の改善した低抵
抗保護膜を開示している。前記プラズマ重合フルオロポ
リマー膜は、プラズマCVD法でC66 やC6HF5
ような分子を有するフルオロ化された炭化水素をネガテ
ィブバイアスが加えられた基板に堆積する。
【0010】従来の低誘電率の絶縁膜は、次のような問
題点がある。第1に、従来の低誘電率の絶縁膜は350
℃以上の温度では安定性が無い。第2に、高いイオン衝
突によって膜を堆積するので、膜内部が激しい応力を受
ける。従って、デバイスの製造に適しない。例えば、フ
ルオロカーボン膜の半分は20〜700℃の温度で得ら
れるが、CF3,CF2,CF,そしてC−CFx結合か
ら構成された前記フルオロカーボン膜は約200℃以下
の温度では安定するが、この温度を超えると結合が熱分
解して不安定である。
【0011】
【発明が解決しようとする課題】本発明はかかる問題点
を解決するためのもので、その目的は金属層間絶縁膜と
しての使用に適するようにした低誘電率の絶縁膜を提供
することである。
【0012】
【課題を解決するための手段】上記の目的を達成するた
めに、本発明の低誘電率の絶縁膜は、二重周波数高密度
プラズマ(Dual frequency high density plasma)反応炉
にフッ素と炭素が含有した第1ソースガスと、シリコン
酸化物を含んだ第2ソースガスを供給して、前記反応炉
に配置した基板上にフルオロカーボン/シリコン酸化膜
を形成することを特徴とする。本発明の低誘電率の絶縁
膜は、フルオロカーボン/シリコン酸化膜であって、少
なくと450℃でも熱的に安定しており、2〜4の低誘
電率を有し、二重周波数高密度プラズマによって堆積さ
せたので、低い膜の応力を有する。
【0013】
【発明の実施の形態】以下、添付図面を参照して本発明
実施形態による低誘電率の絶縁膜製造方法を説明する。
まず、図1に示すように、シリコンと金属とセラミック
とポリマーのように熱的に安定した物質や、塩化カリウ
ム,水晶,ガラスのような物質のうちのいずれか一つで
形成された基板を二重周波数プラズマ反応炉内に入れ
る。基板は二重周波数プラズマ反応炉への挿入前に化学
的に洗浄する。反応炉には15SCCM(Standard Cub
ic Centimeters per Miute)のアルゴンガスが供給さ
れ、反応炉の圧力は50mTorrに固定する。その
後、下部電極のRF変調器を動作させてバイアス電圧が
−300Vになるまで電圧を増加させる。このとき、反
応炉は10分間動作させて基板の表面を完全に浄化す
る。次に、RF変調器の動作を止め、反応炉を真空ポン
プによって基本圧力に引く。
【0014】反応炉はステンレススチルで構成された真
空室20であり、ほぼ完全に真空状態である。そして、
反応炉の内部にはステンレススチルから作られた基板支
持台21がある。この基板支持台21の温度はヒータ2
2によって保たれる。ヒータ22は温度調節器35によ
って調節される。基板支持台21は第2RF変調器34
を介して10〜100KHzの多様な低周波数の供給を
受けるが、この低周波数はブロッキングキャパシタ23
の低インピーダンスを通って基板支持台1に供給され
る。ソースガスは基板支持台21上側に配置された還状
の分配器24を通って反応炉に分配される。
【0015】そして、L形結合のネットワーク27を通
って第1RF変調器の 13.56MHzの周波数が上板
26に供給される。この上板26は2つの耐熱ガラス板
または水晶ガラス板で構成されいる。全体の厚さは3/
4〜1インチ程度である。この2つのガラス板の間に銅
板からなり、中心部から放射状に周辺部に向かって延び
るファラデー防御板28が配置されている。このファラ
デー防御板28は、RFの電気場を遮断し、磁気場のみ
を透過させることにより、電気場によるプラズマの内部
の電圧の上昇を防止し、堆積された薄膜への汚染物の付
着を減少させる。さらに、通過した磁気場が反応器内に
発生した電子を捕獲することにより電子の消滅を防止
し、高電子密度の維持、及び低圧での迂遠点を可能にす
る役割を果たす。上板26上に4ターンのアンテナ29
があり、これが冷却水の入った第1銅管30と第2銅管
31が電気的に連結されている。第1銅管30が接地さ
れ、第1RF変調器25は第2銅管31に高周波数を供
給する。
【0016】そして、アンテナ29は遮蔽箱32によっ
て囲まれており、ソースガスは分配器24を通って真空
室20に供給される。真空室20にターボ分子ポンプま
たは拡散ポンプのような機械的ポンプ結合と高い真空ポ
ンプによって基本的な圧力が加えられる。次に、アルゴ
ンガスArと酸素O2 を1:1の比率で真空室20に注
入して各流速率を10SCCM(Standard cubic Centi
meter per Minute)に固定させる。この時の基板の温度
は温度調節器35によって所望の温度に固定されるが、
この基板の温度は60℃〜350℃のいずれにも可能で
ある。温度が安定した後、ソースガスの流速率(flow ra
te)は所望のレベルに調整される。
【0017】ここで、フルオロカーボンのソースガスは
過フルオロベンゼン(C66:perfluorobenzene)であ
り、SiO2のソースガスはヘキサメチルジシロキサン
(HMDSO)である。前記2つのソースガスの流速率は
目的とする誘電率と膜の応力のような性質に依存する
が、HMDSO/(HMDSO+C66)の流速率は
0.01〜0.99の間のいずれか一つの値を有する。本
実施形態のソースガスである過フルオロベンゼンの流速
は12.6 SCCMに固定させ、HMDSOの流速は
1.4 SCCMに固定させる。2つのソースガスの流速
が安定した後、反応炉の圧力は圧力調節器を使用して2
00mTorrに固定させる。前記2つのソースガスは
常温で液体状態なので、好ましい圧力を得るために液体
ヒータとメータバルブまたは流れ制御器で構成された流
速分配システムを使用る。
【0018】圧力が安定した後に反応炉の第1RF変調
器25で13.56 MHzの周波数を上部電極に加え
る。そのときのエネルギーは300Wに調整する。そし
て、この時、基板上に基板バイアス電圧を発生させるた
めに、第2高周波変調器34で100MHzの周波数を
下部電極に供給する。この時、下部電極へ加えるエネル
ギーは、周波数変調ブロッキングフィルタを備えたオシ
ロスコープやdc電圧メータで測定し、下部電極部dc
バイアス電圧が−200Vを指すまで調節される。この
とき、下部電極に加えられたdcバイアス電圧を適切に
調節するためのオシロスコープによるバイアス電圧の測
定範囲は、−50〜−400Vの多様な値をもつことが
でき、且つこの時の反応炉の総圧力は50〜200mT
orrの値をもつ。堆積時間は下部電極でdcバイアス
電圧が−200Vとなった時から測り始めて所望の時間
が過ぎた後、第1RF変調器25と第2RF変調器34
を止める。反応炉を閉じる前に基本圧力に合する。
【0019】このような方法によって本発明のフルオロ
カーボン/シリコン酸化膜の低誘電率の絶縁膜が基板上
に形成される。前記フルオロカーボン/シリコン酸化膜
の堆積された基板は移動させるか或いは固定させること
ができる。次に、反応炉から外した基板の誘電率、フィ
ルムの厚さ、赤外線スペクトルなどを測定する。まず、
赤外線スペクトルは直径13mmの塩化カリウムディス
ク上に堆積されたフィルムを2cm-1の解像度の伝送モ
ードを使用して Perkin-Elmer FTIRスペクトロメー
タで測定する。ここで、信号対雑音比を向上させること
により、各サンプルの平均が50スキャンより高くなる
ようにする。
【0020】2インチ基板に堆積したフルオロカーボン
/シリコン酸化膜の屈折指数と厚さは楕円偏光法を利用
したエリプソメータによって測定する。ここで、厚さに
関しては表面あらさ計で測定することもできる。尚、膜
の誘電率はCVDプロッタで測定し、CVD測定のため
に金属絶縁シリコン(MIS:metal-insulator-silico
n)キャパシタがフルオロカーボン/シリコン酸化膜の
堆積されたシリコン基板のスパッタ堆積アルミニウムド
ット電極によって制作される。そして、キャパシタはプ
ローブステーション上に載せられ、CVD−ブリッジに
電線によって連結される。CVDテストは常温で−10
0〜110Vの間で0.5 V/secの上昇率で電圧を
変動させながら前記MISキャパシタ上において行われ
る。10倍程度のアルミニウムドットから得られたキャ
パシタンス値は意味ある統計値を得るために平均化され
る。このような条件の下で測定した誘電率は2.0〜4.
0の間の値をもつ。
【0021】そして、本発明のフルオロカーボン/シリ
コン酸化膜の堆積率は圧力、エネルギー、バイアス電
圧、基板の温度などに影響を受ける。このような影響を
受けて堆積される本発明の低誘電率の絶縁膜の堆積率は
0.5〜1.5μm/hr程度である。堆積された膜の熱
的安定性はアルゴンガス状態の100mTorrの真空
下で熱を受けた基板によって測定する。温度は、初めは
350℃に1時間維持してから常温に冷却する。必要な
測定が終わった後、サンプルはさらに次の温度で1時間
アニーリングを行う。アニーリング温度は最終熱処理温
度が500℃になるまで時間当たり50℃だけ増加させ
る。アニーリングが終わった後、誘電率、膜の厚さ、屈
折指数、FTIRスペクトルを測定する。
【0022】この計測時に、フルオロカーボン/シリコ
ン酸化膜の厚さは2%程度減少し、且つ500℃程度の
温度が与えられる時、誘電率と屈折指数が初期値の1%
内に減少する。しかし、FTIRスペクトルには何の変
化も無い。このような過程によって堆積されたフルオロ
カーボン/シリコン酸化膜の応力はセバスチャン・スト
レスゲージ(Sebastian Stress Gage )を使用して測定
するが、その応力は堆積前後の基板の屈曲測定に基づい
て計算する。フルオロカーボン/シリコン酸化膜は典型
的には107〜108dyne/cm2 範囲の低い凝縮応力下で
堆積されるが、好ましくは一般に109dyne/cm2より低
い圧力で金属層間絶縁膜を形成するのが好ましい。本実
施形態では、フルオロカーボン/シリコン酸化膜の厚さ
1μmにおける応力は4.8108dyne/cm2 、厚さ2μ
mにおける応力は4.0×107dyne/cm2であり、全て
圧縮応力であった。
【0023】図2に示すように、フルオロカーボン/シ
リコン酸化膜はHMDSOとC66のソースガスとの和
によるHMDSOの多様な成分比で、即ちHMDSO/
(HMDSO+C6F6)の多様な値で2.0〜4.0の
間の誘電率値を有することが分かる。図3のデータ図に
示すように、フルオロカーボン/シリコン酸化膜の赤外
線スペクトルは2つのはっきりした吸収バンドを示す。
一つは約1070cm-1の波数を表すSiO2 であり、
もう一つは約740cm-1の波数を表すa−PTFEで
ある。この吸収バンドの大きさはソースガスの比率に依
って変化し、2つの吸収バンドはフルオロカーボン/シ
リコン酸化膜の性質指標を示す。本発明のフルオロカー
ボン/シリコン酸化膜は金属層間絶縁膜として用いら
れ、高い温度の安定性と低抵抗性と低誘電率が要求され
るコーティング物質として使われる他の絶縁膜にも適用
することができる。
【0024】
【発明の効果】上述した本発明の製造方法よって得られ
る絶縁膜は、2〜4の低誘電率を有し、少なくとも45
0℃程度の高い温度で安定性があり、低抵抗性の性質を
有し、かつ受ける応力が少なく、デバイスが高速で動作
することができ、且つ少ないクロストークを有するので
電力損失を低くすることができ、金属層間膜として最適
である。
【図面の簡単な説明】
【図1】 本発明の絶縁膜形成のための構成図。
【図2】 本発明によって制作された低誘電率の絶縁膜
の誘電率を表すデータ図。
【図3】 本発明の低誘電率の絶縁膜の波長による吸収
係数を表すデータ図。
【符号の説明】
20 真空室、 21 基板支持台、 22 ヒータ、
23 ブロッキングキャパシタ、 24 分配器、
25 第1RF変調器、 26 上板、 27ネットワ
ーク、 28 ファラデー防御板、 29 アンテナ、
30 第1銅管、 31 第2銅管、 32 遮蔽
箱、 33 真空カップリング、 34第2RF変調
器、 35 温度調節器(センサ−ヒータ)
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヨン・ヒ・リ アメリカ合衆国 08003 ニュージャージ ー州・チェリー ヒル・アプレイ ドライ ブ・114 (72)発明者 ドン・スン・キム アメリカ合衆国 08003 ニュージャージ ー州・チェリー ヒル・クーパー ランデ ィング アール1 ナンバ−エイ・38・ 606

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 二重周波数プラズマ反応炉に、フッ素と
    炭素の含有された第1ソースガスと、シリコン酸化膜を
    含んだ第2ソースガスを供給して、前記反応炉に配置し
    た基板上にフルオロカーボン/シリコン酸化膜を形成さ
    せることを特徴とする低誘電率の絶縁膜製造方法。
  2. 【請求項2】 前記第1ソースガスは過フルオロベンゼ
    ンを含むことを特徴とする請求項1記載の低誘電率の絶
    縁膜製造方法。
  3. 【請求項3】 第2ソースガスはヘキサメチルジシロク
    サンHMDSOを含むことを特徴とする請求項1記載の
    低誘電率の絶縁膜製造方法。
  4. 【請求項4】 前記第1ソースガスの流速率は12.6
    SCCMであり、前記第2ソースガスの流速率は1.4
    SCCMであることを特徴とする請求項1記載の低誘電
    率の絶縁膜製造方法。
  5. 【請求項5】 前記第1ソースガスの流速率と前記第2
    ソースガスの流速率との和に対して前記第2ソースガス
    の流速率を0.01〜0.99の比率とすることを特徴
    とする請求項1記載の低誘電率の絶縁膜製造方法。
  6. 【請求項6】 前記フルオロカーボン/シリコン酸化膜
    の形成時、前記反応炉内の基板の温度は60℃〜350
    ℃、前記基板のバイアス電圧は−50V〜−400Vで
    あることを特徴とする請求項1記載の低誘電率の絶縁膜
    製造方法。
  7. 【請求項7】 前記基板はシリコン、金属、セラミッ
    ク、ガラス、ポリマー及び塩化カリウムなどのうちいず
    れか一つを選択して形成されたことを特徴とする請求項
    1記載の低誘電率の絶縁膜製造方法。
  8. 【請求項8】 前記反応炉の圧力は50〜200×10
    -3torrの範囲であることを特徴とする請求項1記載
    の低誘電率の絶縁膜製造方法。
JP9278460A 1996-10-11 1997-10-13 低誘電率の絶縁膜製造方法 Expired - Fee Related JP2942820B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR45259/1996 1996-10-11
KR1019960045259A KR100205318B1 (ko) 1996-10-11 1996-10-11 자유전율의 절연막 제조방법

Publications (2)

Publication Number Publication Date
JPH10125674A true JPH10125674A (ja) 1998-05-15
JP2942820B2 JP2942820B2 (ja) 1999-08-30

Family

ID=19477072

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9278460A Expired - Fee Related JP2942820B2 (ja) 1996-10-11 1997-10-13 低誘電率の絶縁膜製造方法

Country Status (4)

Country Link
US (1) US6043167A (ja)
JP (1) JP2942820B2 (ja)
KR (1) KR100205318B1 (ja)
DE (1) DE19744837C2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7056839B2 (en) 1997-06-09 2006-06-06 Nec Corporation Method of forming a silica insulation film with a reduced dielectric constant
US7060323B2 (en) 1996-08-29 2006-06-13 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
FR2795746B1 (fr) * 1999-07-01 2001-07-27 Commissariat Energie Atomique Procede de depot d'un materiau dielectrique a base de silicium sur du cuivre
US6756674B1 (en) 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6174797B1 (en) * 1999-11-08 2001-01-16 Taiwan Semiconductor Manufacturing Company Silicon oxide dielectric material with excess silicon as diffusion barrier layer
DE19961103C2 (de) * 1999-12-17 2002-03-14 Infineon Technologies Ag Dielektrische Füllung von elektrischen Verdrahtungsebenen und Verfahren zur Herstellung einer elektrischen Verdrahtung
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6365528B1 (en) * 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6559052B2 (en) 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6649219B2 (en) * 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
DE102005035445B4 (de) * 2005-07-28 2007-09-27 Qimonda Ag Nichtflüchtige, resistive Speicherzelle auf der Basis von Metalloxid-Nanopartikeln sowie Verfahren zu deren Herstellung und entsprechende Speicherzellenanordnung
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
DE102007004844B4 (de) * 2007-01-31 2011-05-05 Infineon Technologies Ag Verfahren zur Herstellung eines Bauteils und Bauteil
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9598771B2 (en) 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
CN105009319B (zh) * 2013-03-04 2017-09-05 应用材料公司 用于oled薄膜封装的含氟等离子体聚合的hmdso
CN104282250B (zh) * 2014-10-24 2016-08-31 深圳市华星光电技术有限公司 Tft 中mis 结构设计的控制方法及系统
KR20240037612A (ko) * 2022-09-15 2024-03-22 충남대학교산학협력단 고유전 비정질 불소화 탄소 박막을 이용한 커패시터, 그 제조방법 및 이를 이용한 반도체

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5428102A (en) * 1987-07-15 1995-06-27 The United States Of America As Represented By The United States National Aeronautics And Space Administration Low dielectric polyimides
EP0393271A1 (en) * 1987-08-08 1990-10-24 The Standard Oil Company Fluoropolymer thin film coatings and method of preparation by plasma polymerization
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JPH086181B2 (ja) * 1992-11-30 1996-01-24 日本電気株式会社 化学気相成長法および化学気相成長装置
US5462784A (en) * 1994-11-02 1995-10-31 International Business Machines Corporation Fluorinated diamond-like carbon protective coating for magnetic recording media devices
US5629246A (en) * 1995-09-27 1997-05-13 Micron Technology, Inc. Method for forming fluorine-doped glass having low concentrations of free fluorine
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7060323B2 (en) 1996-08-29 2006-06-13 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US7056839B2 (en) 1997-06-09 2006-06-06 Nec Corporation Method of forming a silica insulation film with a reduced dielectric constant

Also Published As

Publication number Publication date
KR19980026719A (ko) 1998-07-15
DE19744837A1 (de) 1998-04-16
DE19744837C2 (de) 2000-06-21
US6043167A (en) 2000-03-28
KR100205318B1 (ko) 1999-07-01
JP2942820B2 (ja) 1999-08-30

Similar Documents

Publication Publication Date Title
JP2942820B2 (ja) 低誘電率の絶縁膜製造方法
US5643838A (en) Low temperature deposition of silicon oxides for device fabrication
US6150258A (en) Plasma deposited fluorinated amorphous carbon films
EP0936282B1 (en) Low-k fluorinated amorphous carbon dielectric and method of making the same
US5302420A (en) Plasma deposition of fluorocarbon
Yang et al. Deposition of highly crosslinked fluorinated amorphous carbon film and structural evolution during thermal annealing
KR100495896B1 (ko) 실리콘 카바이드 접착 프로모터 층을 이용하여 저유전상수플루오르화 비결정 탄소에 대한 실리콘 질화물의 접착을강화하는 방법
KR100368100B1 (ko) 불소첨가절연막의형성방법
KR19990087109A (ko) 불소첨가 이산화규소 필름의 증착방법
Kim et al. Deposition of thermally stable, low dielectric constant fluorocarbon/SiO2 composite thin film
Mahajan et al. Growth of SiO2 films by TEOS-PECVD system for microelectronics applications
JP3429171B2 (ja) プラズマ処理方法及び半導体デバイスの製造方法
Grill et al. Diamondlike carbon materials as low-k dielectrics for multilevel interconnects in ULSI
JP2002009069A (ja) 成膜方法
EP0794569A2 (en) Amorphous carbon film, formation process thereof, and semiconductor device making use of the film
US5788870A (en) Promotion of the adhesion of fluorocarbon films
US20100003833A1 (en) Method of forming fluorine-containing dielectric film
EP1655772B1 (en) Semiconductor device, method for manufacturing semiconductor device and gas for plasma cvd
Shirafuji et al. Plasma enhanced chemical vapor deposition of thermally stable and low-dielectric-constant fluorinated amorphous carbon films using low-global-warming-potential gas C5F8
TWI510665B (zh) 使用電漿反應製程來形成氟碳化物層的方法
US6083572A (en) Organic low-dielectric constant films deposited by plasma enhanced chemical vapor deposition
Selbrede et al. Characterization of parylene-n thin films for low-k vlsi applications
Jin et al. Ultralow-k silicon containing fluorocarbon films prepared by plasma-enhanced chemical vapor deposition
JP2830874B2 (ja) 半導体装置とその製造方法および非晶質炭素膜の製造方法
JPH05343390A (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080625

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090625

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100625

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110625

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120625

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130625

Year of fee payment: 14

LAPS Cancellation because of no payment of annual fees