JPH09249976A - 基板処理装置及び化学蒸着装置洗浄方法 - Google Patents

基板処理装置及び化学蒸着装置洗浄方法

Info

Publication number
JPH09249976A
JPH09249976A JP9031788A JP3178897A JPH09249976A JP H09249976 A JPH09249976 A JP H09249976A JP 9031788 A JP9031788 A JP 9031788A JP 3178897 A JP3178897 A JP 3178897A JP H09249976 A JPH09249976 A JP H09249976A
Authority
JP
Japan
Prior art keywords
cleaning
reaction chamber
processing apparatus
substrate processing
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9031788A
Other languages
English (en)
Other versions
JP3141929B2 (ja
Inventor
Michael D Kilgore
マイケル・ディー・キルゴア
Den Hoek Wilbert G M Van
ウィルバート・ジー・エム・バンデンホーエック
Christopher J Rau
クリストファー・ジェイ・ラウ
Schravendijk Bart J Van
バート・ジェイ・バンシュラベンディック
Jeffrey A Tobin
ジェフリー・エイ・トビン
Thomas W Mountsier
トーマス・ダブリュ・マウントシアー
James C Oswalt
ジェイムズ・シー・オズワルト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JPH09249976A publication Critical patent/JPH09249976A/ja
Application granted granted Critical
Publication of JP3141929B2 publication Critical patent/JP3141929B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Public Health (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 堆積ガス注入管を洗浄を高い効率で行うこ
とのできるプラズマCVD装置、及びラズマCVD装置
の堆積ガス注入管の洗浄を高い効率で行う方法を提供す
る。 【解決手段】 反応チャンバ(110)を有する基板
処理装置(10)であって、前記反応チャンバは、基板
(108)を支持するチャック(118)と、プロセス
ガス射出装置(128)と、前記プロセスガス射出装置
とは別個の、前記チャックの上方の前記反応チャンバ内
の空間に横方向かつ上向きに洗浄ガスの流れを向けるよ
うにその姿勢が設定された洗浄ガスインジェクタ(12
9)とを有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、化学蒸着(CV
D)装置に関し、特に洗浄機能を備えた高密度プラズマ
CVD装置に関する。
【0002】
【従来の技術】プラズマ化学蒸着装置は、シリコンウェ
ハ及びその他の基板上に薄膜を成長させるために用いら
れている。ウェハまたはその他の基板上に薄膜を成長さ
せる過程において、この薄膜が反応チャンバ内のその他
の部分にも形成される。微粒子が生ずる箇所でのこの膜
の成長を防止するためには、これらの膜を周期的に除去
しなければならない。例えば、成長過程において粒子が
付着したダイを通常は廃棄しなければならないので、微
粒子は電子デバイスの収率を低下させる。
【0003】液体の腐食液、気化した腐食液、及びプラ
ズマ腐食液を用いて、成長した膜を除去する方法が知ら
れている。これらの方法のうち、プラズマ腐食液を用い
る方法は、優れた洗浄速度を達成し、かつ反応チャンバ
を大気に曝さずにに洗浄を実施することができるので、
このプラズマ腐食液を用いる方法が好ましい。このよう
な特性は、成膜装置の高い生産性のために必要とされ
る。反応チャンバが、例えばSiO2膜を形成するため
に用いられる場合、NF3が洗浄ガスとして用いられ
る。このNF3プラズマは、イオンボンバードメントの
影響によってSiO2膜と反応するフッ素遊離基を発生
させ、SiF4及びその他の揮発性化合物を合成する。
【0004】プロセスガスは、複数の管を有する場合も
あるプロセスガス射出装置によって反応チャンバ内に射
出される。この反応ガス射出管は、反応チャンバの周縁
部分から半径方向内側に向けて配置されているが、その
他の向きに配置されていてもよい。成膜過程の間に、望
まれない堆積物の膜が、このプロセスガス管の内側面に
形成される。この望まれない膜を、洗浄過程の間に除去
しなければならない。従来の方法では、プロセスガスを
導入するために用いられたものと同じ射出管を用いて、
洗浄ガスが射出されていた。この方法の問題点は、この
方法がガス射出管の内側面に形成された膜を除去するた
めには、非常に効率の低い方法であることがすでに明ら
かにされているということである。
【0005】即ち、プラズマCVD装置の堆積ガス注入
管を洗浄するための効率の高い方法を開発する明らかな
必要性が生じている。
【0006】通常、フッ素除去化合物(fluorine-beari
ng compound)が、洗浄ガスとして用いられている。洗
浄サイクルの次に、フッ素残留物が反応チャンバの壁及
びその他の表面に残留する。フッ素残留物は、安全上の
理由から及び次の成膜サイクルにおいて反応チャンバの
表面に膜が確実に堆積されるように、除去されなければ
ならない。米国特許第5,129,958号は、フッ素
残留物を除去するために還元ガスを用いることを開示し
ているが、この方法は、反応チャンバの温度を高くする
ことを必要とし、このように反応チャンバの温度を上げ
ることは多くの装置において困難であり、かつ非常に多
く時間を要する。
【0007】
【発明が解決しようとする課題】本発明の目的は、堆積
ガス注入管を洗浄を高い効率で行うことのできるプラズ
マCVD装置、及びラズマCVD装置の堆積ガス注入管
の洗浄を高い効率で行う方法を提供する事である。
【0008】
【課題を解決するための手段】本発明に基づくプラズマ
化学蒸着装置は、少なくとも1つの専用の洗浄ガス射出
オリフィスまたは管を含む。この専用のオリフィスまた
は管は、CVD装置の運転中に発生する堆積物の膜が最
小となるような位置に配置されている。好適な実施例で
は、プロセスガスは複数の管を通して反応チャンバ内に
射出される。この専用の洗浄ガス射出オリフィスもしく
は管は、ウェハを支持するチャックもしくはプラテン
と、反応チャンバの外壁との間に配置され、かつウェハ
が反応チャンバ内で処理されている時のウェハ支持チャ
ックもしくはプラテンとほぼ等しい高さに配置されてい
る。この配置は、洗浄ガスインジェクタに堆積される膜
を最小とし、洗浄ガスインジェクタからの微粒子の発生
を最小とし、かつ洗浄ガスインジェクタ付近で効率よく
表面の洗浄を行う能力を保持するように選択されてい
る。この専用の洗浄ガス射出オリフィスもしくは管は、
洗浄ガスが排気ポンプのポートに達する前に膜が堆積さ
れた反応チャンバの表面に達するように、洗浄ガスを射
出するようにも配置されている。
【0009】好適な実施例では、成膜過程中にプラズマ
に誘導性的に電力を供給するための半球形の形状のコイ
ルが用いられる。通常、このコイルの上端子は電源に接
続され、下端子(半球形型のコイルの一番外側の巻き
線)は接地されている。本発明の他の様相に基づけば、
洗浄過程の間、このコイルの上端子及び下端子の両方が
電源に接続され、コイル全体としてプラズマに主に容量
性的に電力を供給する。更に、通常は、このコイルは洗
浄サイクルの間では成膜サイクルの間よりもかなり高い
周波数で動作する。このような接続の変更によって、こ
のコイルは反応チャンバの内側面を洗浄するために特に
適したプラズマを発生させる。
【0010】コイルの形状は、高い周波数において電気
的に自己共振が発生するようなものとなっている。この
ようなコイルの特性によって、このコイルの電気的な構
成が、様々な組み合わせの、従って様々な電気的な特性
を有する洗浄用プラズマに電力を供給するための頑健性
を与える。
【0011】本発明の更に他の様相に基づけば、洗浄サ
イクルの次に、水素もしくは水素と酸素の混合物のプラ
ズマを用いて、反応チャンバの表面からフッ素残留物が
取り除かれる。このプラズマを利用することにより、フ
ッ素の除去は低温度(25℃から100℃)で行うこと
ができるようになる。
【0012】
【発明の実施の形態】本発明は、1992年11月4日
に出願された米国特許出願第07/971,363号
(1994年9月13日に登録された米国特許第5,3
46,578号)と、この出願の優先権の基礎とされた
米国特許出願と同時に出願された米国特許出願第08/
602,432号と関連する出願である。
【0013】HDP−CVD装置10が、図1で断面図
によって表されている。 HDP−CVD装置1010
の下側部分が、図2で斜視図によって表されている。
HDP−CVD装置10は、アルミニウム製のハウジン
グ10と、6個の巻き型(図を明瞭にするために、巻き
型104と106のみが図示されている)に巻かれた拡
張する螺旋型の半球形誘導コイル102とを含む。6個
の巻き型は誘導コイル102の組立を容易にするために
用いられているが、用いられる製造技術に応じて1つの
巻き型などの適切なその他の巻き型が用いられてもよ
い。これらの巻き型、例えば巻き型104及び106
は、ナイロン等を含む誘電体材料からなる任意の適切な
材料から形成されている。誘導コイル102は、任意の
適切な絶縁性のひも、接着剤、セメントによって巻き
型、例えば104及び106の溝内に保持されている。
図1の誘導コイル102はほぼ完全な半円球型である
が、この誘導コイルは半円球の一部の形状もしくは頭部
が削除された半円球の形状を有していてもよい。
【0014】誘導コイル102は、内径3.6mm、外
形6.4mmの銅製の管からなる。誘導コイル102の
拡張する螺旋型のパターンは、その巻き数が21であ
る。第1層目の巻き線は、堆積過程での位置にあるよう
に図示された半導体ウェハ108とほぼ同一平面上にあ
る。
【0015】堆積過程の間、誘導コイル102はアルミ
ニウム製の上板114とともに酸化アルミニウム(AL
2O3)製のベッセルもしくはベルジャー112によっ
て構成された反応チャンバ110の周りに配置されてい
る。好ましくは、ベッセル112は、ラジオ周波数(R
F)の平衡した結合(均一な誘電体空間)が、真空キャ
ビティ内に形成されるように、半円球状の形状を有す
る。通常、ベッセルを構成する材料は、真空に耐えるよ
うな十分な構造的完全性を有する絶縁性誘電体材料から
なる。酸化アルミニウムの他に、水晶、パイレックス
(商標)、ポリアミド、及びその他の酸化化合物もしく
は窒化化合物などの適切な材料が用いられる。誘導コイ
ル102は、真空状態を保ちかつ製造中の集積回路チッ
プを含む半導体ウェハとして例示された基板を含むベッ
セル112の半円球状の形状に対応する形状を有する。
【0016】ハウジング100は、任意の通常の方法に
よって上板114に取り付けられている。図1は、 H
DP−CVD装置10からRF電力の大部分が放出され
るのを防止するための銅製のリーブ部分を含むRFシー
ル116が係合したハウジング100を示している。
【0017】例えば直径200mmのウェハ108は、
好ましくは上述された米国特許出願第08/602,4
32号明細書に開示された種類の静電チャック(プラテ
ン118を含む)によって反応チャンバ110内に保持
されている。
【0018】プラテン118は、任意の適切な機構(図
示されていない)により駆動されて垂直方向に移動可能
となっている。プラテンの位置は、HDP−CVD装置
10が処理モード及びウェハ移送モードの何れのモード
で動作しているかによって変化する。処理モードにおけ
るプラテン118の位置は破線で描かれており、ウェハ
移送モードにおけるプラテン118の位置は実線で描か
れている。大気圧下にあるペデスタル駆動装置の機械的
な構成要素を反応チャンバ110の真空から隔離するた
めに設けられたベロー120が延在している。ウェハ
は、反応チャンバ11のプラテン118の上に配置され
ている。
【0019】ウェハをロード及びアンロードするため
に、プラテン118は、密閉可能なウェハ移送開口部1
24がその端部に形成されたウェハ移送領域122まで
降下される。ベロー120が圧縮され、プラテン118
が降下された時、3つのリフトピン(図示されていな
い)が開口(図示されていない)を通ってプラテン11
8に向けて突出し、ウェハを移送領域122内の静止位
置に保持する。密閉可能なウェハ移送開口部124は、
ウェハ移送モードの間にウェハ移送アーム(図示されて
いない)が移送領域122にアクセスできるように設け
られている。適切なウェハ移送アーム及び関連する機構
は当業者にはよく知られている。任意の適切な形式の真
空ポンプ装置(図示されていない)が、反応チャンバ3
0を排気するために真空ポンプポート126を通って移
送領域122に接続されている。適切な真空ポンプ装置
は当業者によく知られたものである。複数のプロセスガ
ス射出管128が反応チャンバ110の周縁部分に沿っ
て配置されている。このプロセスガス射出管128は半
円球形のベッセル112の半径方向に沿って内向きかつ
上向きに(ベッセル112の頂上部に向かって)設けら
れている。射出管128の開口は、処理モードでのウェ
ハ108の位置のわずかに上に設けられている。射出管
128は反応チャンバ110の内部にプロセスガスを供
給するラインに通常の形式で接続されている。図1及び
図2に例示されたプロセスガス射出管128の構成は、
HDP−CVD装置10によって実施されるべき特定
の方法によって、及びウェハの上に供給されるべきプロ
セスガスの供給方法に応じて選択される。例示された実
施例は、SIO2の膜を形成するためにシラン、O2及び
Arを用いるための1つの可能なプロセスガス射出管の
構成となっている。他の実施例では、プロセスガス射出
管は、プロセスガス射出管128と異なる長さであって
よく、もしくはウェハと平行な方向に向けられていても
よく、または下向きに向けられていてもよい。
【0020】プロセスガス射出管128とは別に、洗浄
ガスインジェクタ129が設けられており、この洗浄ガ
スインジェクタ129は装置10の側面に設けられた洗
浄ガス供給部131から延在するアルミニウム製の洗浄
ガス射出管130を含む。この洗浄ガス射出管130は
図1では図の垂直面内に配置されているように描かれて
いるが、図2に描かれているように実際にはこの洗浄ガ
ス注入管130は図1の紙面の後方に向けて曲げられて
おり、図1及び図2に例示されたプロセスガス射出管1
28A及び128Bの間を通ってプラテン118に向け
て延在している。
【0021】洗浄ガスインジェクタ129は、プラズマ
がアルミニウムに衝突することを防止するために洗浄ガ
ス射出管130の一部を覆うセラミック製(Al23
スリーブ133を更に含む。洗浄ガスインジェクタ12
9の出口を形成するセラミック製のスリーブ133は、
処理モードでの位置にあるウェハ108のすぐ上に配置
されているが、他の実施例においては、洗浄ガスインジ
ェクタの出口はウェハ108よりも幾分高くもしくは幾
分低く配置されていてもよい。洗浄ガスインジェクタ1
29は上向きにかつ反応チャンバ110の頂上部に向け
て配置されている。洗浄ガスインジェクタの出口は、ウ
ェハの周縁部分よりも半径方向外側に配置されていなけ
ればならない。
【0022】反応ガス注入管128は通常プラズマに曝
される。その結果、ウェハが処理されている間、プロセ
スガス射出管128の外側面及び内側面に膜が形成され
る。従来の方法は、洗浄ガスをプロセスガス射出管12
8を通して供給するものであった。例えば、SiO2
堆積される場合、NF3が洗浄ガスとして用いられる。
このNF3は、同時に発生するイオンボンバードメント
においてSiO2と反応するフッ素遊離基を発生させ
て、SiF4を生成し、また他の揮発性化合物をも生成
し、従って望まれないSiO2膜を除去する。しかし、
物理的には、洗浄ガスをプロセスガス射出管128を通
して流すことによって、洗浄ガス(この場合ではフッ素
遊離基)がプロセスガス射出管128の内側面に到達す
ることが妨害され、従ってこのような構成における洗浄
過程は非常に非効率的なものとなる。この方法を用いた
場合、プロセスガス射出管は、洗浄ガスを非常に低い流
量で供給した場合のみに洗浄され、更に非常に低い速度
で洗浄が行われるということが明らかにされている。高
い流量の洗浄ガスを用いた場合、プロセスガス射出管は
全く洗浄されないことがある。
【0023】別個の洗浄ガスインジェクタ129を用い
て洗浄ガスを供給することによって、この問題が解決さ
れる。洗浄ガス射出管の内側は、堆積過程の間に可能な
限り正常な状態に保たれ、このために洗浄ガスインジェ
クタ129は蒸着装置10の堆積処理サイクルの間に膜
が形成されることが最も少ない位置に配置されているこ
とが好ましい。この位置は、通常は処理サイクルでのウ
ェハの位置もしくはウェハの位置の下であるが、洗浄ガ
ス射出管が配置される反応チャンバ内の他の場所であっ
てもよい。洗浄ガスが膜で覆われた表面に到達すること
を確実にするために、洗浄ガスが廃棄ポンプポートに達
する前に反応チャンバの表面に洗浄ガスを到達させるよ
うに、この専用の洗浄ガスインジェクタが配置されてい
る。更に、専用ガスインジェクタは、表面から約1cm
以上はなれた所に洗浄ガスを射出するべきである。洗浄
ガスが射出される点から1cm以内にある表面は、効果
的に洗浄されないからである。
【0024】図1及び図2に例示された洗浄ガスインジ
ェクタ129は、ただ1つの洗浄ガス射出管130を有
するが、他の実施例では複数の洗浄ガス射出管を含んで
いてもよい。
【0025】図1及び図2に例示された半円球形のコイ
ルは、高密度のプラズマを発生するために特に有効であ
る。高密度のプラズマ(例えば1011から1013イ
オン/cm3)が、堆積及び/またはスパッタエッチン
グが必要とされる場合に低圧(例えば01.ミリトルか
ら100ミリトル)下において用いられる。上述された
米国特許第5,346,578号に開示されているよう
に、誘導コイルはその上端子に低周波RF電源(例え
ば、450kHzの)を接続され、その下端子が接地さ
れることによって電源を供給されている。
【0026】このような接続によって、堆積処理サイク
ルに用いられる良好なプラズマが提供されるが、誘導コ
イルの両方の端部を比較的高い周波数のRF電源に接続
することによって、洗浄サイクルでの良好なプラズマが
生成され、またこの接続は堆積処理サイクルにも用いる
ことができるということが見いだされた。
【0027】誘導コイル102に電流を供給するための
回路が図3に表されている。誘導コイル102の上端子
102Aと下端子102Bとは、真空リレースイッチ3
0及び31を介して低周波RF電源32と高周波RF電
源33に接続されている。上述されたように、低周波電
源32は、350kHzから450kHzの電力を供給
し、高周波電源33は、5kHzから20MHzの出
力、この場合には13.56MHzの電力を供給する。
RF電源32の出力信号は、インダクタL2(7μH)
とキャパシタC2(12nF)及びC3(4.0−5.
7nF)とを含む低周波インピーダンスマッチング回路
34を介して供給され、RF電源33の出力信号は、イ
ンダクタL1(0.95μH)とキャパシタC1(20
0pF)とを含む高周波インピーダンスマッチング回路
35を介して供給されている。キャパシタC1からC3
及びインダクタL1、L2の値は変えることができる。
【0028】スイッチ30及び31は単極双投スイッチ
であり、同時に操作ができるように取り付けられてい
る。堆積過程の間、スイッチ30及び31は通常閉じら
れた位置(ノーマルクローズドポジション)にある。即
ち、上述されたように、450kHzの電源32は誘導
コイル102の上端子102Aに接続されており、誘導
コイル102の下端子102Bは接地されている。洗浄
過程の間、スイッチ30及び31は両方とも通常開いた
位置(ノーマルオープンポジション)にあり、その結果
13.56MHzの電源は両方の端子102Aと102
Bに接続されている。この接続状態において、誘導コイ
ル102は主に容量性結合を介して反応チャンバ110
の内側面を洗浄するために特に適したプラズマを生み出
す。
【0029】この実施例では、RF電源から電源からの
信号は誘導コイルの端子から供給されるが、他の実施例
ではRF電源からの信号は誘導コイルの2つのもしくは
それ以上の箇所から、例えば、一方の端子と、コイルの
中間点とから供給され、もう一方の端子はフロート状態
のまま保持されている。
【0030】本発明の他の実施例では、高周波電源がコ
イルの2つもしくはそれ以上の位置から供給され、主に
誘導性結合によって、高密度プラズマを発生させるため
に用いられる。このプラズマは堆積過程に適したもので
ある。
【0031】洗浄ガスは、NF3、C26、C38、S
6、及びCF4(O2、H2もしくは不活性ガスを加える
ことも可能である)のようなフッ素除去化合物からな
り、上述されたようにグロー放電によって活性化され
る。堆積した膜が不活性フッ素及び酸素として除去され
る。洗浄過程の後に、残留したフッ素及びフッ素化合物
は反応チャンバの表面に吸収された状態で残る。この残
留物が除去されない場合、次に形成される膜が反応チャ
ンバの表面に接着されないことがあり、薄片が生じ、微
粒子の発生が増加する。更に、装置の運転者が、反応チ
ャンバが大気に向けて開かれて換気された時、過度のレ
ベルのフッ素に曝されることにもなる。
【0032】これらのフッ素除去化合物の残留物は、水
素分子(H2)へと解離する水素プラズマを用いること
によって除去できることが見いだされた。水素原子はフ
ッ素残留物と反応してHFを生成し、このHFは排気装
置によって排気される。プラズマの作用なしでは、反応
チャンバの構成要素を保持するために望ましい温度範囲
(25℃から150℃)の調整された温度において、水
素分子はフッ素残留物とは反応しない。より高い温度で
は、反応チャンバの構成要素の形状及び構造がより複雑
なものとなり、かつ高価なものとなる。プラズマは、水
素(及び酸素)を解離させるために、また、低温度にお
いてフッ素残留物を表面から除去のに必要な表面での反
応を強化するためのイオンボンバードメントを起こすた
めに、重要である。
【0033】水素のプラズマ化が始まると、反応チャン
バの圧力は初期の値(プラズマが発生してないときの
値)の2倍から3倍に増加する。このプロセスが続く
と、圧力は低下し結局初めの圧力の値に戻る。8分間の
洗浄サイクルに続いて、このプロセスは通常は約2分間
行われる。水素が酸素と混合されている場合、このプロ
セスに必要な時間は33%から50%に短縮される。
【0034】以下の値はパッシベーション過程に対する
変数を例示したものである。水素は、0sccmから5
00sccmの流量の酸素とともに、50sccmから
1000sccmの流量で供給される。反応チャンバの
開始圧力は0.1トルから5トルの範囲にある。誘導コ
イルは出力500Wから5000Wの周波数150kH
zから13.56MHzのRF信号源から電流を供給さ
れている。 比較試験の結果 本発明に基づく洗浄装置の有効性を通常の洗浄装置と比
較するための試験が行われた。効果的な洗浄速度は、堆
積モードの装置を用いてウェハ上に形成された膜の厚さ
を、次に反応チャンバを洗浄するために必要とした時間
で割った値として定義された。プラズマ洗浄の到達目標
は、反応チャンバの表面に形成された膜を周期的に除去
することにより、これらの膜が剥離することを防ぎ、こ
れらの膜によってウェハ上の粒子の数が増加することを
防止することである。高い洗浄速度は、単位時間当たり
に処理されるウェハの数を最大化するために望ましいも
のである。
【0035】この比較試験では、SiO2膜を除去する
ための洗浄ガスとしてNF3が用いられた。反応チャン
バを洗浄するために要した時間は、光学的マイクロ波分
光法によってモニタリングされた。この分光法によって
放射されたフッ素スペクトラムのある特定の波長の光の
強度が測定され、この光の強度はプラズマ内でのフッ素
遊離基の濃度に比例するものである。上述されたよう
に、フッ素遊離基は反応チャンバの表面からSiO2
を除去する役割を果たすものである。プラズマ洗浄の開
始時には、除去されるべき大量の膜が存在するので、供
給されたフッ素は急速に消費される。即ち、フッ素の光
学的な放射強度は比較的低い。洗浄が継続されるに従
い、フッ素遊離基と反応する残りの膜が減少し、その結
果フッ素の濃度は洗浄過程の終了時に定常値に達するま
で、その濃度が増加する。それに応じて光学的放射信号
が洗浄過程の終了時において定常値に達する。即ち、反
応チャンバ内のウェハ上に堆積された所定の厚さの膜に
対して、光学的な放射測定値が、効果的な洗浄速度を表
す。しかしながら、この効果的な洗浄速度は、プラズマ
洗浄の有効性に関する完全な情報を提供するわけではな
い。光学的放射は、膜が反応チャンバの全ての部分から
完全に除去されているか否かに関わらず終了することが
ある。特に、洗浄ガスがプロセスガス射出管を通して供
給されている場合、膜はプロセスガス射出管の内側面か
ら完全に除去されないことがある。従って、試験の結果
を完全なものにするために、何れの洗浄方法及び条件が
最良の結果をもたらすかを判定するべく全ての反応チャ
ンバの表面を視角的に検査することが行われた。更に、
洗浄プラズマの安定性と均一性が視覚的に観察された。
プラズマの安定性及び均一性が低い場合、反応チャンバ
の各部分における膜の除去が不均一なものとなる。この
場合、反応チャンバを形成する材料は、膜の除去速度が
高い部分においてより強い衝撃を受け、ウェハの汚染が
増加され、より頻繁に反応チャンバの保守が必要とな
る。
【0036】3つの試験が実施され、それらの結果がO
ES法によって図4、図5A及び図5B、及び図6A及
び図6Bに例示されている。これらの図の各々の横軸
は、洗浄の開始からの時間(単位は秒)を表しており、
縦軸は放射強度(任意の単位)を表している。試験#1
では、誘導コイルは2kWの低周波数(350kHzか
ら450kHz)の電流を供給されている。信号は誘導
コイルの上端子に供給され、誘導コイルの下端子は接地
されている。図4は、これらの条件においてNF3の洗
浄ガスが、圧力1.6トル、流速600sccmにおい
て供給された時のOES法による結果を表している。6
86nmの波長が観測された。プラズマ洗浄の効果的な
洗浄速度はかなり良好(8000A/分)であり、洗浄
は約400秒で完了した。この効果的な洗浄速度はそれ
ほど重要ではない。その理由は、反応チャンバの全ての
表面(特にプロセスガス注入管の内側面)が洗浄された
わけではなかったからである。洗浄の後のウェハ上の粒
子の数は許容できないものであった。更に、プラズマの
安定性及び均一性はこの試験#1では低いものであっ
た。
【0037】図5A及び図5Bに例示された試験に#2
では、2つの洗浄過程が用いられた。図5Aは704n
mでのOES法の結果を表し、図5Bは686nmでの
OES法での測定結果を表している。再び、洗浄ガス
(NF3)が圧力1.5トルにおいてプロセスガス射出
管から供給された。第1の過程では、高い流速の洗浄ガ
ス(800sccm)が供給された。この洗浄ガスによ
って約500秒の間に表面の大部分が洗浄されたが、プ
ロセスガス射出管の内側面は洗浄されなかった。第2の
過程では、(この過程は第1の過程の開始から645秒
経過した後に開始された)NF3の流速が100scc
mまで低下された。これは、プロセスガス射出管を通る
洗浄ガスの流速が高い場合、このプロセスガス射出管の
内側面の洗浄が適切に行われないことに基づくものであ
る。
【0038】試験#2では誘導コイルには4kW、1
3.56MHzの信号が供給された。この信号は誘導コ
イルの両方の端子に供給された。この高周波の信号は、
試験#1で用いられた低周波の信号に比較して誘導コイ
ルに実質的に異なる電圧信号を供給した。このこと及び
試験の第2過程によって、プロセスガス射出管の内側面
を含む反応チャンバの全ての表面が効果的に洗浄され
た。更に、高周波数電力によって、プラズマの安定性及
び均一性が改善された。更に、高周波の(容量性)電力
結合が、比較的低い最大電圧において達成された。これ
によって、反応チャンバを構成する材料へのプラズマの
衝突強度は低減された。しかし、全体の洗浄速度340
0A/分は、月並みなものである。
【0039】試験#3の結果が、704nmの波長での
OES法の測定結果である図6Aと、686nmの波長
でのOES法の測定結果である図6Bとに表されてい
る。試験#3では、洗浄ガスは本発明に基づいて別個の
洗浄ガス射出管によって供給されている。この洗浄ガス
射出管は、この管が堆積過程の間に最小の堆積物を受容
し、堆積物の形成された反応チャンバの表面へ洗浄ガス
(NF3)へ供給できる位置に配置されている。洗浄ガ
スの流量は圧力2トルにおいて1000sccmであ
る。誘導コイルへの入力電力は4kWで13.56MH
zである。有効な洗浄速度は12000A/分である。
プロセスガス導入管の管を含む全ての反応チャンバの表
面が有効に洗浄され、プラズマの安定性及び均一性も良
好なものである。その他の試験によっても、洗浄ガス射
出管を最適な位置に配置しない場合も、洗浄速度は低下
するものの、反応チャンバの全ての表面が有効に洗浄さ
れることが明らかにされた。これらの試験の結果が表1
にまとめられている。
【0040】
【表1】
【0041】本発明に基づく特定の実施例が、本明細書
中で説明されたが、様々な変形実施例が本発明の広い範
囲に及ぶ原理を逸脱せずに実施可能なことは当業者には
明らかである。例えば、上述された実施例は高密度プラ
ズマ化学蒸着法(HDP−CVD)に関するものであっ
たが、本発明はまた、化学蒸着法(CVD)、プラズマ
化学蒸着法(PECVD)、及びスパッタリング法など
のその他の形式の方法にも用いることができる。プロセ
スガス射出装置は管を有するものであったが、シャワー
ヘッドもしくはリングインジェクタを用いているような
その他の形式のプロセスガス射出装置もまた本発明にお
いて用いることができる。本発明は、添付の特許請求の
範囲において定義されたように、これらの全ての変形実
施例を包含することを意図するものである。
【図面の簡単な説明】
【図1】本発明に基づく高密度プラズマ化学蒸着(HD
P−CVD)装置の側断面図。
【図2】図1に例示されたHDP−CVD装置の下側部
分の拡大図。
【図3】図1及び図2に例示されたHDP−CVD装置
のコイルに電力を供給するための電気回路の模式図。
【図4】従来の洗浄方法と、本発明に基づくHDP−C
VD装置を用いた洗浄方法との一連の比較試験の結果を
表す走査型マイクロ波分光法による測定結果を表すグラ
フ。
【図5】A及びBからなり、A及びBは各々、従来の洗
浄方法と、本発明に基づくHDP−CVD装置を用いた
洗浄方法との一連の比較試験の結果を表す走査型マイク
ロ波分光法による測定結果を表すグラフ。
【図6】A及びBからなり、A及びBは各々、従来の洗
浄方法と、本発明に基づくHDP−CVD装置を用いた
洗浄方法との一連の比較試験の結果を表す走査型マイク
ロ波分光法による測定結果を表すグラフ。
【符号の説明】
10 PVD装置 30 スイッチ 31 スイッチ 32 低周波電源 33 高周波電源 34 低周波インピーダンスマッチング回路 35 高周波インピーダンスマッチング回路 100 ハウジング 102 誘導コイル 102A 誘導コイルの上端子 102B 誘導コイルの下端子 104 巻型 106 巻型 108 基板 110 反応チャンバ 112 ベッセル 114 上板 116 RFシール 118 プラテン(チャック) 120 ベロウ 128 プロセスガス射出管 129 洗浄ガスインジェクタ 130 洗浄ガス射出管
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ウィルバート・ジー・エム・バンデンホー エック アメリカ合衆国カリフォルニア州94014・ クーペルティーノ・メリマンロード 10566 (72)発明者 クリストファー・ジェイ・ラウ アメリカ合衆国カリフォルニア州95035・ ミルピタス・パークグレンコート 1186 (72)発明者 バート・ジェイ・バンシュラベンディック アメリカ合衆国カリフォルニア州94087・ サニーベイル・イスレイコート 741 (72)発明者 ジェフリー・エイ・トビン アメリカ合衆国カリフォルニア州94040・ マウンテンビュー・コーネリアコート 621 (72)発明者 トーマス・ダブリュ・マウントシアー アメリカ合衆国カリフォルニア州95110・ サンノゼ・#319・パークアベニュー 411 (72)発明者 ジェイムズ・シー・オズワルト アメリカ合衆国カリフォルニア州94566・ プレザントン・コルトメリーナ 2211

Claims (27)

    【特許請求の範囲】
  1. 【請求項1】 反応チャンバを有する基板処理装置で
    あって、 前記反応チャンバは、 基板を支持するチャックと、 プロセスガス射出装置と、 前記プロセスガス射出装置とは別個の、前記チャックの
    上方の前記反応チャンバ内の空間に横方向かつ上向きに
    洗浄ガスの流れを向けるようにその姿勢が設定された洗
    浄ガスインジェクタとを有することを特徴とする基板処
    理装置。
  2. 【請求項2】 前記洗浄インジェクタの出口が前記チ
    ャックに支持された前記基板の周縁部の横方向外側に配
    置されるように、前記洗浄ガスインジェクタが位置決め
    されていることを特徴とする請求項1に記載の基板処理
    装置。
  3. 【請求項3】 前記洗浄ガスインジェクタの前記出口
    が、前記基板の表面によって定まる平面の近傍に配置さ
    れていることを特徴とする請求項2に記載の基板処理装
    置。
  4. 【請求項4】 前記反応チャンバは、少なくとも部分
    的にベッセルによって包囲されており、 前記洗浄ガスインジェクタの前記出口が、前記ベッセル
    もしくは前記チャックの任意の表面から1cm以上離れ
    て配置されていることを特徴とする請求項2に記載の基
    板処理装置。
  5. 【請求項5】 前記洗浄ガスインジェクタが少なくと
    も1つの管を有することを特徴とする請求項1に記載の
    処理装置。
  6. 【請求項6】 前記洗浄ガスインジェクタが、 金属製の管と、 前記チャンバ内のプラズマから前記金属製の管を保護す
    るための前記金属製の管の端部を覆うセラミック製のス
    リーブとを有することを特徴とする請求項1に記載の基
    板処理装置。
  7. 【請求項7】 前記プロセスガス射出装置が、複数の
    プロセスガス射出管を有することを特徴とする請求項1
    に記載の基板処理装置。
  8. 【請求項8】 前記プロセスガス射出管が、前記チャ
    ンバの中心軸を中心として等間隔で配置され、前記中心
    軸に向けてプロセスガスを射出するようにその姿勢が決
    められていることを特徴とする請求項7に記載の基板処
    理装置。
  9. 【請求項9】 前記プロセスガス射出管が、前記チャ
    ックの上方の前記チャンバ内の空間に向けて上向きに傾
    けられていることを特徴とする請求項8に記載の基板処
    理装置。
  10. 【請求項10】 前記反応チャンバが、少なくとも部
    分的に、概ね半円球形の形状を有するベッセルによって
    包囲されていることを特徴とする請求項1に記載の基板
    処理装置。
  11. 【請求項11】 部分的な半円球形もしくは完全な半
    円球形の形状を有すると共に前記ベッセルの外側面に隣
    接して配置されたコイルを更に有することを特徴とする
    請求項10に記載の基板処理装置。
  12. 【請求項12】 AC(交流)信号の電源と、 前記AC信号を前記コイルの少なくとも2つの箇所に供
    給するための信号線とを更に有することを特徴とする請
    求項11に記載の基板処理装置。
  13. 【請求項13】 前記信号線が、前記コイルの上端子
    と下端子とに接続されていることを特徴とする請求項1
    2に記載の基板処理装置。
  14. 【請求項14】 基板処理装置であって、 少なくても部分的にベッセルによって包囲された反応チ
    ャンバと、 前記反応チャンバ内に基板を支持するためのチャック
    と、 プロセスガス射出装置と、 前記反応チャンバ内にプラズマを発生させるための、前
    記ベッセルの外側面に隣接して配置されたコイルと、 AC(交流)信号の電源と、 前記AC信号を前記コイルの少なくとも2つの箇所に供
    給する信号ラインとを有することを特徴とする基板処理
    装置。
  15. 【請求項15】 前記信号線が、前記コイルの上端子
    と下端子とに接続されていることを特徴とする請求項1
    4に記載の基板処理装置。
  16. 【請求項16】 前記AC信号が第1の周波数を有
    し、 第2の周波数を有する第2のAC(交流)信号の電源
    と、 前記信号前記に接続された複数のスイッチとを更に有
    し、 前記複数のスイッチが、前記コイルの前記少なくとも2
    つの箇所に前記AC信号を供給するための第1の位置
    と、前記2つの箇所のうちの一方の箇所に前記第2のA
    C信号を供給し、前記2つの箇所のもう一方の箇所を接
    地するための第2の位置とに連動して機能的に置かれる
    ことを特徴とする請求項14に記載の基板処理装置。
  17. 【請求項17】 化学蒸着(CVD)装置の処理サイ
    クルの間に形成された残留物を除去するべく前記化学蒸
    着装置の内側面を洗浄する化学蒸着装置洗浄方法であっ
    て、 前記化学蒸着装置が、少なくとも部分的に反応チャンバ
    を包囲するコイルを有し、 前記方法が、 前記反応チャンバ内にプラズマを発生させるための前記
    コイルの少なくとも2つの箇所にAC信号を供給する過
    程を有することを特徴とする化学蒸着装置洗浄方法。
  18. 【請求項18】 前記少なくとも2つの箇所が前記コ
    イルの両方の端子からなることを特徴とする請求項17
    に記載の化学蒸着装置洗浄方法。
  19. 【請求項19】 前記AC信号が、5MHzから20
    MHzの範囲の周波数を有することを特徴とする請求項
    17に記載の化学蒸着装置洗浄方法。
  20. 【請求項20】 前記AC信号が約13.56MHz
    の周波数を有することを特徴とする請求項19に記載の
    化学蒸着装置洗浄方法。
  21. 【請求項21】 前記反応チャンバ内に洗浄ガスを射
    出する過程を更に有し、 前記AC信号が前記洗浄ガスを有するプラズマを形成す
    るために用いられることを特徴とする請求項17に記載
    の化学蒸着装置洗浄方法。
  22. 【請求項22】 前記洗浄ガスがフッ素からなること
    を特徴とする請求項21に記載の化学蒸着装置洗浄方
    法。
  23. 【請求項23】 前記洗浄ガスが、NF3、C26
    38、及びCF4からなる集合から選択された1つも
    しくは複数のフッ素除去化合物を有することを特徴とす
    る請求項22に記載の化学蒸着装置洗浄方法。
  24. 【請求項24】 前記洗浄ガスが、O2、H2、及び不
    活性ガスからなる集合の内の1つもしくは複数の構成要
    素を更に有することを特徴とする請求項23に記載の化
    学蒸着装置洗浄方法。
  25. 【請求項25】 前記洗浄ガスを前記反応チャンバか
    ら除去する過程と、 前記反応チャンバ内に水素を射出する過程と、 前記水素を含むプラズマを発生させるために前記コイル
    に電流を供給する過程と、 前記プラズマを用いて前記反応チャンバの内側面からフ
    ッ素を有する残留物を除去する過程とを更に有すること
    を特徴とする請求項22に記載の化学蒸着装置洗浄方
    法。
  26. 【請求項26】 前記反応チャンバの壁の内側面の温
    度が、前記コイルに電流を供給する前記過程の間常に2
    5℃から150℃の範囲内にあることを特徴とする請求
    項25に記載の化学蒸着装置洗浄方法。
  27. 【請求項27】 前記反応チャンバ内に前記水素と混
    合された酸素を射出する過程を更に有することを特徴と
    する請求項25に記載の化学蒸着装置洗浄方法。
JP09031788A 1996-02-16 1997-02-17 基板処理装置及び化学蒸着装置洗浄方法 Expired - Fee Related JP3141929B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/602,641 1996-02-16
US08/602,641 US6200412B1 (en) 1996-02-16 1996-02-16 Chemical vapor deposition system including dedicated cleaning gas injection

Publications (2)

Publication Number Publication Date
JPH09249976A true JPH09249976A (ja) 1997-09-22
JP3141929B2 JP3141929B2 (ja) 2001-03-07

Family

ID=24412185

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09031788A Expired - Fee Related JP3141929B2 (ja) 1996-02-16 1997-02-17 基板処理装置及び化学蒸着装置洗浄方法

Country Status (7)

Country Link
US (1) US6200412B1 (ja)
EP (1) EP0790635A3 (ja)
JP (1) JP3141929B2 (ja)
KR (1) KR100269559B1 (ja)
DE (1) DE790635T1 (ja)
SG (1) SG76499A1 (ja)
TW (1) TW289836B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002334870A (ja) * 2001-05-10 2002-11-22 Nec Kyushu Ltd 高密度プラズマcvd装置のリモートプラズマクリーニング方法
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
JP2008283126A (ja) * 2007-05-14 2008-11-20 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP2008283148A (ja) * 2007-05-14 2008-11-20 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US7816272B2 (en) 2003-01-16 2010-10-19 Oki Electric Industry Co., Ltd. Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device
JP2011018938A (ja) * 1998-05-28 2011-01-27 Applied Materials Inc 半導体処理チャンバのガス分配器
JP2013191887A (ja) * 2013-06-19 2013-09-26 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
KR20140076495A (ko) * 2012-12-12 2014-06-20 도쿄엘렉트론가부시키가이샤 정전 척의 개질 방법 및 플라즈마 처리 장치

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
JP3141827B2 (ja) * 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
GB9811081D0 (en) * 1998-05-22 1998-07-22 Central Research Lab Ltd Apparatus for coupling power into a body of gas
US6277759B1 (en) * 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6320316B1 (en) * 1999-05-19 2001-11-20 Central Research Laboratories, Limited Apparatus for coupling power into a body of gas
US6500771B1 (en) * 2000-01-31 2002-12-31 Chartered Semiconductor Manufacturing Ltd. Method of high-density plasma boron-containing silicate glass film deposition
SG94816A1 (en) * 2000-05-12 2003-03-18 Applied Materials Inc Conditioned chamber for improving chemical vapor deposition
KR20010104260A (ko) * 2000-05-12 2001-11-24 조셉 제이. 스위니 화학 증착챔버 내부의 오염물을 제거하기 위한 가스 반응
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US20020163632A1 (en) * 2001-05-02 2002-11-07 Ya-Chan Cheng Measuring system of a gas stream environment
US6815362B1 (en) * 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US7001854B1 (en) 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6606802B2 (en) 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
CN100463112C (zh) * 2003-05-30 2009-02-18 周星工程股份有限公司 一种用于半导体装置的设备
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
KR100589046B1 (ko) * 2004-09-23 2006-06-12 삼성전자주식회사 박막 형성 방법
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
WO2008035678A1 (fr) * 2006-09-19 2008-03-27 Tokyo Electron Limited Processus de nettoyage de plasma et procédé cvd plasma
KR100861816B1 (ko) * 2006-12-28 2008-10-07 동부일렉트로닉스 주식회사 고밀도 플라즈마 cvd 챔버
DE102007045216A1 (de) * 2007-09-21 2009-04-02 Khs Corpoplast Gmbh & Co. Kg Vorrichtung zur Plasmabehandlung von Werkstücken
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP5203758B2 (ja) * 2008-03-17 2013-06-05 東京エレクトロン株式会社 プラズマ処理装置
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7931752B2 (en) * 2009-01-06 2011-04-26 United Microelectronics Corp. Method for cleaning semiconductor equipment
JP5705322B2 (ja) * 2011-07-27 2015-04-22 シャープ株式会社 シリコン含有膜の製造方法
CN103219214B (zh) * 2012-01-20 2016-04-06 李文杰 连续式系统的等离子体制程、设备、腔体及机构
CN103510064B (zh) * 2012-06-15 2016-06-29 中微半导体设备(上海)有限公司 真空处理装置及控制制程颗粒沉积路径的方法
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9896769B2 (en) 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
WO2014149200A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) * 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111370282B (zh) * 2018-12-26 2022-06-24 江苏鲁汶仪器有限公司 一种等离子增强化学气相沉积腔室的清洗方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022533134A (ja) * 2019-05-15 2022-07-21 アプライド マテリアルズ インコーポレイテッド チャンバ残留物を低減する方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4033286A (en) 1976-07-12 1977-07-05 California Institute Of Technology Chemical vapor deposition reactor
US4512283A (en) 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
US4576698A (en) 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4657616A (en) 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
JPH01298181A (ja) 1988-05-25 1989-12-01 Hitachi Ltd ドライエッチング方法
JPH03130368A (ja) 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JPH03243774A (ja) 1990-02-20 1991-10-30 Iwatani Internatl Corp プラズマ内蔵式セラミックス膜形成装置内の汚染物清浄用ガス
US5284805A (en) * 1991-07-11 1994-02-08 Sematech, Inc. Rapid-switching rotating disk reactor
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5413670A (en) 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
JP3422583B2 (ja) 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
DE69506619T2 (de) 1994-06-02 1999-07-15 Applied Materials Inc Induktiv gekoppelter Plasmareaktor mit einer Elektrode zur Erleichterung der Plasmazündung
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5679215A (en) * 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011018938A (ja) * 1998-05-28 2011-01-27 Applied Materials Inc 半導体処理チャンバのガス分配器
KR100443905B1 (ko) * 2001-03-23 2004-08-09 삼성전자주식회사 화학 기상 증착장치
JP2002334870A (ja) * 2001-05-10 2002-11-22 Nec Kyushu Ltd 高密度プラズマcvd装置のリモートプラズマクリーニング方法
JP4733856B2 (ja) * 2001-05-10 2011-07-27 ルネサスエレクトロニクス株式会社 高密度プラズマcvd装置のリモートプラズマクリーニング方法
US7816272B2 (en) 2003-01-16 2010-10-19 Oki Electric Industry Co., Ltd. Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device
JP2008283126A (ja) * 2007-05-14 2008-11-20 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP2008283148A (ja) * 2007-05-14 2008-11-20 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
KR20140076495A (ko) * 2012-12-12 2014-06-20 도쿄엘렉트론가부시키가이샤 정전 척의 개질 방법 및 플라즈마 처리 장치
JP2014116566A (ja) * 2012-12-12 2014-06-26 Tokyo Electron Ltd 静電チャックの改質方法及びプラズマ処理装置
US9558919B2 (en) 2012-12-12 2017-01-31 Tokyo Electron Limited Method of modifying electrostatic chuck and plasma processing apparatus
JP2013191887A (ja) * 2013-06-19 2013-09-26 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置

Also Published As

Publication number Publication date
DE790635T1 (de) 1998-03-12
TW289836B (en) 1996-11-01
EP0790635A2 (en) 1997-08-20
EP0790635A3 (en) 1998-04-15
US6200412B1 (en) 2001-03-13
JP3141929B2 (ja) 2001-03-07
KR100269559B1 (ko) 2000-12-01
KR970063445A (ko) 1997-09-12
SG76499A1 (en) 2000-11-21

Similar Documents

Publication Publication Date Title
JP3141929B2 (ja) 基板処理装置及び化学蒸着装置洗浄方法
US5879575A (en) Self-cleaning plasma processing reactor
KR100240534B1 (ko) 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법
JP4121269B2 (ja) セルフクリーニングを実行するプラズマcvd装置及び方法
KR100284571B1 (ko) 세라믹 라이닝을 이용하여 cvd챔버 내의 잔류물 축적을 감소시키는 장치 및 방법
US6099747A (en) Chamber etching of plasma processing apparatus
TWI431683B (zh) Plasma processing device and plasma processing method
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
KR100855617B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20080050402A (ko) Nf₃를 사용한 표면 적층물 제거 방법
US7959970B2 (en) System and method of removing chamber residues from a plasma processing system in a dry cleaning process
KR20000070665A (ko) 저항성을 감소시키기 위해 고종횡비 실리콘 반도체 디바이스접점을 준비하고 금속화하는 장치 및 방법
JP4000487B2 (ja) プロセス気体および洗浄気体の別々の注入ポートを有するプラズマ・チャンバ
US6914207B2 (en) Plasma processing method
US7569111B2 (en) Method of cleaning deposition chamber
TW469299B (en) Reduction of metal oxide in a dual frequency etch chamber
US6708700B2 (en) Cleaning of semiconductor processing chambers
JPH10275694A (ja) プラズマ処理装置及び処理方法
JP2001020076A (ja) 反応室のクリーニング方法及び装置
JP2004214609A (ja) プラズマ処理装置の処理方法
US20060281323A1 (en) Method of cleaning substrate processing apparatus
JPH06283484A (ja) プラズマ装置のクリーニング方法
JPH08148486A (ja) プラズマ処理装置
JPH10308352A (ja) プラズマ処理方法及び半導体装置の製造方法
JP2000003907A (ja) クリーニング方法及びクリーニングガス生成装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees