JPH08320808A - エミュレーション方式 - Google Patents

エミュレーション方式

Info

Publication number
JPH08320808A
JPH08320808A JP7124883A JP12488395A JPH08320808A JP H08320808 A JPH08320808 A JP H08320808A JP 7124883 A JP7124883 A JP 7124883A JP 12488395 A JP12488395 A JP 12488395A JP H08320808 A JPH08320808 A JP H08320808A
Authority
JP
Japan
Prior art keywords
signal
trace
cpu
trace memory
program
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7124883A
Other languages
English (en)
Inventor
Satoshi Ikei
聡 池井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP7124883A priority Critical patent/JPH08320808A/ja
Priority to US08/653,302 priority patent/US5715435A/en
Priority to EP96108316A priority patent/EP0744694A1/en
Publication of JPH08320808A publication Critical patent/JPH08320808A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/362Software debugging
    • G06F11/3636Software debugging by tracing the execution of the program

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Debugging And Monitoring (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【目的】トレース容量の制約を排除して、高級言語のプ
ログラムの解析/評価をも可能とするエミュレーション
方式を実現する。 【構成】本発明におけるトレース制御手段は、トレース
機能を実現するために応用装置において使用されるマイ
クロコンピュータと同等の動作機能を有するCPU1
と、トレース用メモリ2と、トレース・メモリ制御回路
3と、変調器4と、OR回路5とを備えて構成されてお
り、CPU1より出力されるCPUリード信号103お
よびCPUプログラム・フェッチ・ステータス信号10
4の入力を介して生成されるプログラム・フェッチ信号
105を、間引いて生成されるトレース・メモリ・ライ
ト信号106により、トレース情報をトレース用メモリ
2に格納することにより、実質的なトレース容量を増大
され、高級言語により記述されたプログラムの解析/評
価をも行うことができる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明はエミュレーション方式に
関し、特にコンピュータの動作解析および性能評価用と
して用いられるエミュレーション方式に関する。
【0002】
【従来の技術】従来、例えばマイクロコンピュータを使
用した応用装置のソフトウェア開発/評価を行う場合に
は、一般的に当該応用装置において使用されているマイ
クロコンピュータと同等の動作を行い、更に任意のポイ
ントにおいてプログラムの実行を停止させるプレーク機
能、およびCPUの実行経過を記憶装置に保存しておい
て表示するトレース機能などを含む、ソフトウェア開発
を効率的に行うことができるような様々な機能を有する
エミュレーション方式に拠り実施するのが通例である。
【0003】上述したエミュレーション方式において
は、例えばマイクロコンピュータを使用した応用装置の
場合においては、開発対象となる当該応用装置上におい
て、本来は、ソフトウェア開発/評価の対象となるマイ
クロコンピュータが搭載される場所に、当該マイクロコ
ンピュータと同等の機能を有する情報処理手段を含むト
レース制御手段を直接接続することにより、前記応用装
置としては、搭載対象のマイクロコンピュータが搭載さ
れている場合の状態と全く同一の動作状態を実現するこ
とが可能となる。従って、前記トレース制御手段を直接
応用装置に接続し、実際に当該応用装置を動作させるこ
とにより、その動作を通じて、ソフトウェアの開発/評
価の過程におけるソフトウェアの問題点が容易に解決さ
れる手段が提供される。
【0004】このようなエミュレーション方式を利用し
たソフトウェア開発工程の一つに、プログラム動作解析
/性能評価工程という開発工程がある。このプログラム
動作解析/性能評価工程とは、開発されたプログラムが
システムとして期待通りの性能を発揮しているかどうか
を解析/評価する工程で、ソフトウェア開発の最終段階
において行われるものであり、応用装置の性能を左右す
る極めて重要な開発工程と云うことができる。特に、応
用装置のシステム全体性能を向上させる場合、システム
の起動から終了までのプログラム全体の軌跡を把握する
とともに、全モジュールの稼働頻度を解析して、特に稼
働頻度の高いモジュールについて処理速度の向上を計る
などの解析/評価が必要となる。
【0005】従来は、このようなプログラムの動作解析
/性能評価を行う場合には、エミュレーション方式にお
けるトレース機能を利用する方法が一般的となってい
る。このエミュレーション方式のトレース機能を利用す
る方法とは、エミュレーション方式において、トレース
制御手段として設けられている有限のトレース用メモリ
(一般に8K〜32Kの深さがあり、その深さ分までの
CPU実行情報を記憶しておくことが可能)にCPUの
実行過程を記憶させておき、後からその実行過程を調べ
ることにより、プログラムの動作過程を解析/評価する
方法である。
【0006】図7は、従来のエミュレーション方式にお
いて用いられているトレ−ス制御手段の構成を示すブロ
ック図であり、図8(a)、(b)、(c)、(d)、
(e)、(f)および(g)は、当該トレース制御手段
の動作タイミング図である。図7に示されるように、前
記トレース手段は、トレース機能を実現するために応用
装置において使用されるマイクロコンピュータと同等の
動作機能を有するCPU1と、トレース用メモリ2と、
トレース・メモリ制御回路3とを備えて構成される。本
従来例によるトレース用メモリ2が、例えば32K×1
28bitの容量を持つものとすると、このことは、ト
レース用メモリ2に、128bit長のデータが32K
フレームまで記憶することができるということを意味し
ている。トレース用メモリ2に対するアドレスの供給
は、トレース・メモリ制御回路3からトレース・メモリ
用アドレス・バス102を介して行われており、またデ
ータの供給は、CPU1からトレース用データ・バス1
01を介して行われている。トレース用メモリ2に対す
るデータの書込みは、AND回路11により、CPU1
のCPUリード103とCPUライト信号116の論理
積として得られるトレース・メモリ・ライト信号117
により行われており、またトレース用メモリ2からのト
レース・データの読出しは、トレース・メモリ制御回路
3から出力されるトレース・メモリ・リード信号107
により行われている。
【0007】次に、図7および図8(a)、(b)、
(c)、(d)、(e)、(f)および(g)を参照し
て、本従来例におけるトレース制御手段の動作につい
て、CPU1によるCPUバス・サイクルが4回発生し
た場合を動作例として説明する。図8(a)に示される
CPUバス・サイクルの最初のバス・サイクル[1]は
データ・リード・サイクルとなっており、このサイクル
においては、図8(c)に示されるように、トレース用
データ・バス101には、アドレス/リード・データお
よびアドレス/ライト・データ等を含む、CPU1から
出力されるデータ読出し信号用アドレス情報および読出
し先からの読出しデータ情報等が出力される。一方、ト
レース・メモリ用アドレス・バス102には、トレース
・メモリ制御回路3より、データの格納番地を示すアド
レス情報“000”が出力されている(図8(g)参
照)。この状態において、CPU1より出力されるCP
Uリード信号103が負論理のアクティブ・レベルにな
ると、AND回路11より出力されるトレース・メモリ
・ライト信号117も同様に負論理のアクティブ・レベ
ルになり、これにより、トレース用メモリ2のデータ格
納番地“000”に対して、トレース用データ・バス1
01上のトレース・データ情報の書込みが行われる。
【0008】次のCPUバス・サイクル[2]もリード
・サイクルとなっており、トレース・メモリ用アドレス
・バス102には、トレース・メモリ制御回路3より、
データの格納番地を示すアドレス情報“001”が出力
されている(図8(g)参照)。この場合においても、
CPUバス・サイクル[1]の場合と同様の手順によ
り、トレース・メモリ用アドレス・バス102により示
されるデータの格納番地“001”に対して、トレース
用データ・バス101上のトレース・データ情報が書込
まれる。そして、その次のCPUバス・サイクル[3]
はデータ・ライト・サイクルとなっており、トレース用
メモリ2に対するメモリ・ライト信号116は、CPU
ライト信号116が負論理のアクティブ・レベルになる
と同様に負論理のアクティブ・レベルとなり、上述した
CPUバス・サイクル[1]とCPUバス・サイクル
[2]の場合と全く同様の手順により、トレース・メモ
リ用アドレス・バス102により示されるデータ格納番
地“002”に対して、トレース用データ・バス101
上のトレース・データ情報が書込まれる。
【0009】このようにして、CPU1において発生す
る全てのデータ・リード・サイクルおよびデータ・ライ
ト・サイクルにおいて、トレース用データ・バス101
上のトレース・データ情報が、順次トレース用メモリ2
の指定された格納番地に格納されてゆく。従来は、上記
の手順によりトレース用メモリ2に逐次格納されたCP
U1の動作情報を参照することにより、プログラムの動
作解析/評価を実施しているのが通例である。
【0010】
【発明が解決しようとする課題】上述した従来のエミュ
レーション方式において用いられているトレース手段に
おいては、CPUにおいて発生する全てのバス・サイク
ルを、有限のトレース用メモリに逐次格納する方法が採
られている。このために、システムの起動から終了まで
のプログラム全体の流れを解析/評価する場合には、ト
レース用メモリの容量に応じて、断片的にプログラムの
軌跡を追う方法を採らざるを得ず、連続的な軌跡を把握
することができないという欠点がある。
【0011】また、最近においては、アセンブリ言語に
よるプログラム開発の比率が減少してきており、その代
わりに高級言語によるプログラム開発の比率が増加する
傾向にあり、これにより、プログラムの動作解析/性能
評価工程においても高級言語レベルで当該工程を進めて
ゆく必要性が求められてきている。しかしながら、一般
的に高級言語により記述された1ステートメントは数命
令から数十命令に相当しており、従って、この1ステー
トメントをトレース・メモリにより記憶するためには、
従来のアセンブリ言語において必要としたトレース・メ
モリ容量の数倍から数十倍もの容量のトレース・メモリ
を用意することが必要になり、上記の高級言語により記
述されたプログラムに対応することが困難になるという
欠点がある。
【0012】
【課題を解決するための手段】本発明のエミュレーショ
ン方式は、解析/評価の対象となるマイクロコンピュー
タと同等の動作機能を有するCPUと、所定のトレース
・メモリ・ライト信号を介して、前記CPUより出力さ
れるトレース用データを入力して格納するとともに、所
定のトレース・メモリ・リード信号を介して、前記トレ
ース・データの読出しが行われるトレース用メモリと、
前記トレース用メモリに対するトレース用データの書込
み時に、前記CPUより出力されるCPUリード信号
と、当該CPUにおいてプログラム・フェッチ・サイク
ルが発生していることを示すプログラム・フェッチ・ス
テータス信号とを入力して、前記トレース・メモリ・ラ
イト信号を生成して出力するトレース・メモリ・ライト
信号出力回路と、前記トレース用メモリからのトレース
用データの読出し時に、前記トレース・メモリ・リード
信号を生成して出力するトレース・メモリ制御回路と、
を少なくともトレース制御手段として備えることを特徴
としている。
【0013】なお、前記トレース・メモリ・ライト信号
出力回路は、前記CPUリード信号と前記プログラム・
フェッチ・ステータス信号とを入力して、CPUプログ
ラム・フェッチ信号を出力する論理和回路と、前記CP
Uプログラム・フェッチ信号を入力して、前記トレース
・メモリ・リード信号を生成して出力する変調回路とを
備えて構成してもよい。
【0014】また、前記変調回路は、前記CPUプログ
ラム・フェッチ信号を入力して、当該CPUプログラム
・フェッチ信号の周波数を分周して、複数の分周信号を
出力する分周器と、前記複数の分周信号を入力して、何
れか1つの分周信号を選択して前記トレース・メモリ・
リード信号として出力する選択器とを備えて構成しても
よく、或はまた、所定のシステム・クロックを入力して
計数し、予め規定されている計数時間に対応する計数が
終了した時点において所定のタイマ・カウンタ・オーバ
ーフロー信号を出力するタイマ・カウンタと、前記タイ
マ・カウンタ・オーバーフロー信号と前記CPUプログ
ラム・フェッチ信号とを入力して、タイム・カウンタ初
期化信号を生成して出力し、前記タイマ・カウンタに帰
還入力するフリップフロップと、前記タイマ・カウンタ
・オーバーフロー信号と前記CPUプログラム・フェッ
チ信号とを入力し、論理和演算して前記トレース・メモ
リ・リード信号として出力する論理和回路とを備えて構
成してもよい。
【0015】
【実施例】次に、本発明について図面を参照して説明す
る。
【0016】図1は本発明におけるトレース制御手段の
一実施例の構成を示すブロック図である。図1に示され
るように、本実施例のトレース制御手段は、トレース機
能を実現するために応用装置において使用されるマイク
ロコンピュータと同等の動作機能を有するCPU1と、
トレース用メモリ2と、トレース・メモリ制御回路3
と、変調器4と、OR回路5とを備えて構成されてお
り、図2(a)、(b)、(c)、(d)、(e)、
(f)および(g)は、当該トレース制御手段の動作タ
イミング図である。図1に示されるように、本実施例の
従来例と異なる点は、本実施例においては、トレース用
メモリ2に対するトレース・メモリ・ライト信号106
を生成する手段として、AND回路の代わりに、OR回
路5および変調器4が設けられていることである。な
お、本実施例のトレース制御手段においては、CPU
1、トレース用メモリ2、トレース・メモリ制御回路
3、トレース用データ・バス101、トレ−ス・メモリ
用アドレス・バス102、CPUリード信号103、ト
レース・メモリ・ライト信号106およびトレース・メ
モリ・リード信号107等の動作機能については、前述
の従来例の場合において説明した内容と全く同様であ
り、これらに関連する動作説明については省略するもの
とする。
【0017】図1において、本実施例のトレース制御手
段においては、OR回路5により、CPU1において発
生するプログラム・フェッチ・サイクルのみを特定する
CPUプログラム・フェッチ・ステータス信号104
と、CPUリード信号103との双方の負論理出力の論
理和条件をとることにより、CPU1においてプログラ
ム・フェッチ・サイクルが発生した時においてのみ不論
理レベルとなるCPUプログラム・フェッチ信号105
が生成されて、変調器4に入力される。変調器4におい
ては、CPUプログラム・フェッチ信号105の入力を
受けて、当該CPUプログラム・フェッチ信号105が
任意の変調方式により変調されて、トレ−ス・メモリ・
ライト信号106として出力され、トレース用メモリ2
に入力される。トレース用メモリ2においては、このト
レース・メモリ・ライト信号106により制御されて、
トレース用データ・バス101上のトレース・データ情
報がトレース用メモリ2に書込まれる。
【0018】次に、図2(a)、(b)、(c)、
(d)、(e)、(f)および(g)に示される動作タ
イミング図をも参照して、本実施例のトレース制御手段
の動作について敷延して説明する。本実施例において
は、図2(d)に示されるように、CPU1より出力さ
れるCPUリード信号103は、システム・クロックに
対応して、各CPUバス・サイクルにおいて不論理のア
クティブ・レベルにて出力され、OR回路5に入力され
る。一方、CPU1より出力されるCPUプログラム・
フェッチ・ステータス信号104は、図3(e)に示さ
れるように、CPUバス・サイクル[1]〜[5]およ
びCPUバス・サイクル[7]〜[8]の間にわたり、
CPU1より負論理のアクティブ・レベルで出力されて
おり、これらのCPUバス・サイクル[1]〜[5]お
よび[7]〜[8]が、それぞれプログラム・フェッチ
・サイクルであることを示している。従って、CPU1
のプログラム実行の軌跡のみをトレース用メモリ2に格
納するために機能するCPUプログラム・フェッチ信号
105は、上記のCPUバス・サイクルの間においての
み有効となっている。これらのCPUリード信号103
およびCPUプログラム・フェッチ・ステータス信号1
04は、OR回路5において論理和がとられて、図3
(f)に示されるようにCPUプログラム・フェッチ信
号105として出力され、変調器4に入力される。
【0019】変調器4に入力される当該CPUプログラ
ム・フェッチ信号105は、当該変調器4において変調
されてトレ−ス・メモリ・ライト信号106として出力
されるが、変調器4における変調作用により、CPUバ
ス・サイクル[2]〜[4]および[7]〜[8]にお
いては、CPUプログラム・フェッチ信号105の中に
存在している書込みパルス信号が除去されている。即
ち、変調器4より出力されるトレース・メモリ・ライト
信号106は、間引かれて出力されて、トレース用メモ
リ2に入力される。従って、本実施例のトレース制御手
段においては、CPU1において処理されるプログラム
実行情報は、その全てがトレース用メモリ2内に格納さ
れる訳ではなく、当該プログラム実行情報は、任意の割
合いで間引かれた後に、トレース用メモリ2に格納され
るという方式が採られている。
【0020】次に、本実施例によるトレース制御手段に
おいて適用される変調器4の具体的な実施例を2つ挙げ
て説明する。図3は、変調器4の第1の実施例の構成を
示すブロック図であり、図3に示されるように、分周器
6と、選択器7とを備えて構成される。また、図4
(a)、(b)、(c)、(d)および(e)は、当該
変調器4の動作タイミング図である。分周器4において
は、CPUプログラム・フェッチ信号105(図4
(a)参照)の入力を受けて、当該CPUプログラム・
フェッチ信号105の周波数が順次分周されて、図4
(b)、(c)、(d)、(e)および(f)に示され
るように、1/1分周信号108、1/2分周信号10
9、1/4分周信号110、1/8分周信号111、…
………、1/N分周信号112が生成されて出力され
る。これらの複数の分周信号は選択器7に入力され、任
意の1つの分周信号が選択されてトレース・メモリ・ラ
イト信号106として出力される。即ち、本実施例にお
ける変調器4は、図4(a)、(b)、(c)、
(d)、(e)および(f)の動作タイミング図に示さ
れるように、負論理のアクティブ・レベルのCPUプロ
グラム・フェッチ信号105の入力に対応して、当該C
PUプログラム・フェッチ信号105が1/N分周の割
合いで間引かれて、トレース・メモリ・ライト信号10
6として出力されるように機能している。
【0021】図5は、変調器4の第2の実施例の構成を
示すブロック図であり、図5に示されるように、タイマ
・カウンタ8と、フリップフロップ9およびOR回路1
0により形成され、タイマ・カウンタ8を任意のタイミ
ングで初期化する制御回路とを備えて構成される。ま
た、図6(a)、(b)、(c)、(d)および(e)
は、当該変調器4の動作タイミング図である。図5にお
いて、タイマ・カウンタ8においては、システム・クロ
ック(図6(a)参照)113の入力を受けて当該シス
テム・クロック113が計数され、図6に示されるよう
に、予め決められている計数時間Tに対応するクロック
数分の計数が終了すると、負論理アクティブ・レベルの
タイマ・カウンタ・オーバフロー信号(図6(c)参
照)114が出力されて、前記制御回路を形成するフリ
ップフロップ9およびOR回路10に入力される。これ
らのフリップフロップ9およびOR回路10に対して
は、CPUプログラム・フェッチ信号(図6(b)参
照)105も入力されており、これらの負論理アクティ
ブ・レベルのタイマ・カウンタ・オーバフロー信号11
4と、CPUプログラム・フェッチ信号105の入力を
受けて、OR回路10からはトレース・メモリ・ライト
信号(図6(e)参照)106が生成されて出力され、
またフリップフロッフ9からは、CPUプログラム・フ
ェッチ信号105によりタイマ・カウンタ・オーバフロ
ー信号114がサプリングされて生成される負論理レベ
ルのタイマ・カウンタ初期化信号(図6(d)参照)1
15が出力されて、タイマ・カウンタ8に入力される。
タイマ・カウンタ8においては、このタイマ・カウンタ
初期化信号115の入力を受けて初期化され、再度シス
テム・クロック113の計数が再開されるように作用し
ている。即ち、本実施例における変調器4は、図6
(a)、(b)、(c)、(d)および(e)の動作タ
イミング図に示されるように、負論理のアクティブ・レ
ベルのCPUプログラム・フェッチ信号105の入力に
対応して、当該CPUプログラム・フェッチ信号105
が、前記時間Tにより予め設定された期間分だけ間引か
れて、トレース・メモリ・ライト信号106として出力
されるように機能している。
【0022】従って、本発明においては、上記の2つの
実施例における変調器4により、CPUプログラム・フ
ェッチ信号105は、それぞれ間引かれてトレース・メ
モリ・ライト信号として出力されており、これにより、
CPU1において実行されたプログラムの軌跡を追跡す
るために必要とされるプログラム・フェッチ・サイクル
に関する情報が、任意の割合いで間引かれてトレ−ス用
メモリ2に格納されてゆく方式が採られている。このた
めに、トレース装置に記憶されているCPU1のプログ
ラム実行の軌跡情報は連鎖的な情報となっているが、ノ
イマン型コンピュータによるプログラムの連続性によ
り、欠落した情報に大きく影響されることなくプログラ
ム全体の流れを解析/評価することができるので、結果
的には、従来のトレース容量を仮想的に数倍乃至数十倍
に拡大したものと同等のトレース機能が実現される。
【0023】また、特に1ステートメントが数命令から
数十命令により構成される高級言語により記述されてい
るプログラムの動作解析/性能評価を行う場合において
は、1ステートメントの実行を、そのステートメントを
構成する最低1命令の実行軌跡に置換えることが可能と
なるために、原理的にも全命令をトレースする必然性が
なくなる。従って、本発明により得られるトレース情報
により、略完璧にCPU1の動作解析を行うことができ
る。
【0024】
【発明の効果】以上説明したように、本発明は、エミュ
レーション方式に適用されて、当該エミュレーション方
式のトレース制御手段に含まれるCPUより出力される
CPUリード信号およびCPUプログラム・フェッチ・
ステータス信号の入力を介して生成されるプログラム・
フェッチ信号を、任意に間引いて生成されるトレース・
メモリ・ライト信号により、前記CPUより出力される
トレース情報をトレース用メモリ内に格納することによ
り、プログラムの動作解析/性能評価に要するトレース
・メモリ容量を実質的に増大することができるという効
果がある。
【0025】また、上記のトレース・メモリ容量の増大
に伴ない、対象とするプログラムの全体の流れを把握し
て動作解析/性能評価を行うことが可能になるととも
に、高級言語により記述されたプログラムに対しても、
容易に動作解析/性能評価を行うことができるという効
果がある。
【図面の簡単な説明】
【図1】本発明におけるトレース制御手段の1実施例を
示すブロック図である。
【図2】前記1実施例における動作タイミング図であ
る。
【図3】前記1実施例における変調器の第1の実施例を
示すブロック図である。
【図4】前記変調器の第1の実施例における動作タイミ
ング図である。
【図5】前記1実施例における変調器の第2の実施例を
示すブロック図である。
【図6】前記変調器の第2の実施例における動作タイミ
ング図である。
【図7】従来例を示すブロック図である。
【図8】前記従来例における動作タイミング図である。
【符号の説明】
1 CPU 2 トレース用メモリ 3 トレース・メモリ制御回路 4 変調器 5、10 OR回路 6 分周器 7 選択器 8 タイマ・カウンタ 9 フリップフロップ 11 AND回路 101 トレ−ス用データ・バス 102 トレース・メモリ用アドレス・バス 103 CPUリード信号 104 CPUプログラム・フェッチ・ステータス信
号 105 CPUプログラム・フェッチ信号 106、117 トレ−ス・メモリ・ライト信号 107 トレース・メモリ・リード信号 108〜112 分周信号 113 システム・クロック 114 タイマ・カウンタ・オーバフロー信号 115 タイマ・カウンタ初期化信号 116 CPUライト信号

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 解析/評価の対象となるマイクロコンピ
    ュータと同等の動作機能を有するCPUと、 所定のトレース・メモリ・ライト信号を介して、前記C
    PUより出力されるトレース用データを入力して格納す
    るとともに、所定のトレース・メモリ・リード信号を介
    して、前記トレース・データの読出しが行われるトレー
    ス用メモリと、 前記トレース用メモリに対するトレース用データの書込
    み時に、前記CPUより出力されるCPUリード信号
    と、当該CPUにおいてプログラム・フェッチ・サイク
    ルが発生していることを示すプログラム・フェッチ・ス
    テータス信号とを入力して、前記トレース・メモリ・ラ
    イト信号を生成して出力するトレース・メモリ・ライト
    信号出力回路と、 前記トレース用メモリからのトレース用データの読出し
    時に、前記トレース・メモリ・リード信号を生成して出
    力するトレース・メモリ制御回路と、 を少なくともトレース制御手段として備えることを特徴
    とするエミュレーション方式。
  2. 【請求項2】 前記トレース・メモリ・ライト信号出力
    回路が、前記CPUリード信号と前記プログラム・フェ
    ッチ・ステータス信号とを入力して、CPUプログラム
    ・フェッチ信号を出力する論理和回路と、 前記CPUプログラム・フェッチ信号を入力して、前記
    トレース・メモリ・リード信号を生成して出力する変調
    回路と、 を備えて構成されることを特徴とする請求項1記載のエ
    ミュレーション方式。
  3. 【請求項3】 前記変調回路が、前記CPUプログラム
    ・フェッチ信号を入力して、当該CPUプログラム・フ
    ェッチ信号の周波数を分周して、複数の分周信号を出力
    する分周器と、 前記複数の分周信号を入力して、何れか1つの分周信号
    を選択して前記トレース・メモリ・リード信号として出
    力する選択器と、 を備えて構成されることを特徴とする請求項2記載のエ
    ミュレーション方式。
  4. 【請求項4】 前記変調回路が、所定のシステム・クロ
    ックを入力して計数し、予め規定されている計数時間に
    対応する計数が終了した時点において所定のタイマ・カ
    ウンタ・オーバーフロー信号を出力するタイマ・カウン
    タと、 前記タイマ・カウンタ・オーバーフロー信号と前記CP
    Uプログラム・フェッチ信号とを入力して、タイム・カ
    ウンタ初期化信号を生成して出力し、前記タイマ・カウ
    ンタに帰還入力するフリップフロップと、 前記タイマ・カウンタ・オーバーフロー信号と前記CP
    Uプログラム・フェッチ信号とを入力し、論理和演算し
    て前記トレース・メモリ・リード信号として出力する論
    理和回路と、 を備えて構成されることを特徴とする請求項2記載のエ
    ミュレーション方式。
JP7124883A 1995-05-24 1995-05-24 エミュレーション方式 Pending JPH08320808A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP7124883A JPH08320808A (ja) 1995-05-24 1995-05-24 エミュレーション方式
US08/653,302 US5715435A (en) 1995-05-24 1996-05-24 Trace control circuit in emulation system
EP96108316A EP0744694A1 (en) 1995-05-24 1996-05-24 Improved trace control circuit in emulation system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7124883A JPH08320808A (ja) 1995-05-24 1995-05-24 エミュレーション方式

Publications (1)

Publication Number Publication Date
JPH08320808A true JPH08320808A (ja) 1996-12-03

Family

ID=14896460

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7124883A Pending JPH08320808A (ja) 1995-05-24 1995-05-24 エミュレーション方式

Country Status (3)

Country Link
US (1) US5715435A (ja)
EP (1) EP0744694A1 (ja)
JP (1) JPH08320808A (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3443720B2 (ja) * 1995-12-12 2003-09-08 株式会社日立製作所 エミュレータ
JP3565987B2 (ja) * 1996-05-28 2004-09-15 株式会社ルネサステクノロジ エミュレータ装置
JP3175757B2 (ja) * 1996-08-13 2001-06-11 日本電気株式会社 デバッグシステム
JP3608915B2 (ja) * 1997-09-22 2005-01-12 富士通株式会社 マルチプロセッシングシステムの性能評価方法および装置並びにマルチプロセッシングシステムの性能評価プログラムを格納した記憶媒体
JP2992284B1 (ja) * 1998-10-20 1999-12-20 松下電器産業株式会社 信号処理装置
US6584586B1 (en) * 2000-03-22 2003-06-24 Advanced Micro Devices, Inc. Apparatus and method for capturing and transferring internal system activity
US7237090B1 (en) 2000-12-29 2007-06-26 Mips Technologies, Inc. Configurable out-of-order data transfer in a coprocessor interface
US7287147B1 (en) 2000-12-29 2007-10-23 Mips Technologies, Inc. Configurable co-processor interface
US7185234B1 (en) * 2001-04-30 2007-02-27 Mips Technologies, Inc. Trace control from hardware and software
US7134116B1 (en) 2001-04-30 2006-11-07 Mips Technologies, Inc. External trace synchronization via periodic sampling
US7178133B1 (en) 2001-04-30 2007-02-13 Mips Technologies, Inc. Trace control based on a characteristic of a processor's operating state
US7069544B1 (en) 2001-04-30 2006-06-27 Mips Technologies, Inc. Dynamic selection of a compression algorithm for trace data
US7124072B1 (en) 2001-04-30 2006-10-17 Mips Technologies, Inc. Program counter and data tracing from a multi-issue processor
US7181728B1 (en) 2001-04-30 2007-02-20 Mips Technologies, Inc. User controlled trace records
US7168066B1 (en) 2001-04-30 2007-01-23 Mips Technologies, Inc. Tracing out-of order load data
US7065675B1 (en) 2001-05-08 2006-06-20 Mips Technologies, Inc. System and method for speeding up EJTAG block data transfers
US7231551B1 (en) 2001-06-29 2007-06-12 Mips Technologies, Inc. Distributed tap controller
US7043668B1 (en) 2001-06-29 2006-05-09 Mips Technologies, Inc. Optimized external trace formats
JP4300738B2 (ja) * 2002-03-04 2009-07-22 ヤマハ株式会社 電子機器
US7159101B1 (en) 2003-05-28 2007-01-02 Mips Technologies, Inc. System and method to trace high performance multi-issue processors
JP4410296B2 (ja) * 2006-02-27 2010-02-03 富士通株式会社 プロセッサのデバッグ機構
US9996354B2 (en) * 2015-01-09 2018-06-12 International Business Machines Corporation Instruction stream tracing of multi-threaded processors

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5729162A (en) * 1980-07-28 1982-02-17 Nec Corp Program tracer
JPS6027037A (ja) * 1983-07-22 1985-02-12 Fujitsu Ltd プログラム・トレ−ス方式
JPS6184749A (ja) * 1984-10-02 1986-04-30 Oki Electric Ind Co Ltd プロセツサ保守装置
JPH03139741A (ja) * 1989-10-26 1991-06-13 Fujitsu Ltd 履歴情報格納方式
JPH04284543A (ja) * 1991-03-14 1992-10-09 Toyota Motor Corp マイクロコンピュータプログラムトレース装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4422141A (en) * 1979-07-30 1983-12-20 Bell Telephone Laboratories, Incorporated Microprocessor architecture for improved chip testability
US4937770A (en) * 1986-02-07 1990-06-26 Teradyne, Inc. Simulation system
JPH02133834A (ja) * 1988-11-14 1990-05-23 Nec Corp インサートキットエミュレータ
DE69127992T2 (de) * 1990-04-20 1998-06-04 Hitachi Ltd Mikroprozessor zur Buszykluseinfügung zwecks Informationslieferung für eine Emulation

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5729162A (en) * 1980-07-28 1982-02-17 Nec Corp Program tracer
JPS6027037A (ja) * 1983-07-22 1985-02-12 Fujitsu Ltd プログラム・トレ−ス方式
JPS6184749A (ja) * 1984-10-02 1986-04-30 Oki Electric Ind Co Ltd プロセツサ保守装置
JPH03139741A (ja) * 1989-10-26 1991-06-13 Fujitsu Ltd 履歴情報格納方式
JPH04284543A (ja) * 1991-03-14 1992-10-09 Toyota Motor Corp マイクロコンピュータプログラムトレース装置

Also Published As

Publication number Publication date
EP0744694A1 (en) 1996-11-27
US5715435A (en) 1998-02-03

Similar Documents

Publication Publication Date Title
JPH08320808A (ja) エミュレーション方式
US5384720A (en) Logic circuit simulator and logic simulation method having reduced number of simulation events
US5202889A (en) Dynamic process for the generation of biased pseudo-random test patterns for the functional verification of hardware designs
US10083043B2 (en) Random access to signal values of an FPGA at runtime
US20100223502A1 (en) Memory-based trigger generation scheme in an emulation environment
US7584456B1 (en) Method and apparatus for debugging embedded systems having read only memory
US20160034617A1 (en) Prototyping an Image Processing Algorithm and Emulating or Simulating Execution on a Hardware Accelerator to Estimate Resource Usage or Performance
US9411920B2 (en) Specifying and implementing relative hardware clocking in a high level programming language
US11977115B2 (en) Pattern generation system with pin function mapping
JP3896177B2 (ja) エミュレーションシステム
US10216495B2 (en) Program variable convergence analysis
EP0847022A2 (en) Method for designing an architectural system
US9929734B2 (en) Method for changing the configuration of a programmable logic module
JPH11328995A (ja) メモリ試験装置
JPH04233040A (ja) コンピュータプログラム実行シミュレーションシステム
US9733911B2 (en) Value transfer between program variables using dynamic memory resource mapping
CN114328062B (zh) 校验缓存一致性的方法、装置和存储介质
US5473749A (en) Image processing system having plurality of processors acting on image data according to stored program from host computer
JP3212709B2 (ja) ロジックシミュレーション装置
US10241764B2 (en) Automatically transform pass-by-value semantics into pass-by-reference implementation
US20030233504A1 (en) Method for detecting bus contention from RTL description
JPH08180094A (ja) アーキテクチャ・シミュレータ
US6339751B1 (en) Circuit design support apparatus and a method
US20240241809A1 (en) Methods, electronic devices and storage media for executing assertions
Murali et al. Improved in-system debugging of high level synthesis generated FPGA circuits

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19971014