JPH06314777A - 半導体デバイスの製造方法 - Google Patents

半導体デバイスの製造方法

Info

Publication number
JPH06314777A
JPH06314777A JP6036353A JP3635394A JPH06314777A JP H06314777 A JPH06314777 A JP H06314777A JP 6036353 A JP6036353 A JP 6036353A JP 3635394 A JP3635394 A JP 3635394A JP H06314777 A JPH06314777 A JP H06314777A
Authority
JP
Japan
Prior art keywords
silicon nitride
mixture
layer
oxide
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6036353A
Other languages
English (en)
Other versions
JP2607834B2 (ja
Inventor
Stephen F Geissler
フランク ガイスラー スティーブン
Josef W Korejwa
ワレン コレジュワ ジョセフ
Jerome Brett Lasky
ブレット ラスキ ジェローム
Pai-Hung Pan
パン パイーハング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH06314777A publication Critical patent/JPH06314777A/ja
Application granted granted Critical
Publication of JP2607834B2 publication Critical patent/JP2607834B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

(57)【要約】 【目的】 半導体デバイスの窒化ケイ素層を酸化する
方法を提供する。 【構成】 半導体デバイスの窒化ケイ素層を、窒化ケ
イ素が酸化できる十分な高温で、酸素反応物とフッ素を
含む化合物との混合物に曝して酸化させる。この方法に
よる酸化のための温度は一般的に600℃以上であるが、
より低温でもある種の酸化は起こる。フッ素化合物の濃
度は一般的には、混合物の全量に対して体積で100から1
500ppmである。フッ素化合物にNF3を使い、温度は約700
℃以上、フッ素化合物の濃度が100から1000ppmの条件で
プロセスを行うことが望ましい。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体デバイスに関し、
具体的には、酸化環境を使い、フッ素を含む化合物の希
釈量を用いて、半導体デバイスの窒化ケイ素層を酸化す
る方法に関する。
【0002】
【従来の技術】窒化ケイ素は半導体デバイスの製造に広
く用いられている。窒化ケイ素の1つの使い方は、ONO
層と称される酸化ケイ素・窒化ケイ素・酸化ケイ素の層
(silicon oxideーsilicon nitrideーsilicon oxide laye
r: ONO layer)として使い、例えば、ゲート絶縁体また
は深い溝を持つメモリ記憶デバイスの絶縁体として使う
ものである。窒化ケイ素を使用する1つの不都合な点
は、デバイスの製造プロセス中、あるいは、製造後のデ
バイスの作動中(例えば熱い電子により)先述のONO層
中の窒化物と酸化物との界面で陰電荷の捕獲が起こり得
ることである。ある場合には、この電荷捕獲がデバイス
の閾値電圧に影響を与えて、寄生漏れ経路(parasitic
leakage paths)が形成されることがある。この漏れ経
路がデバイス内部でサブ閾値漏れ(subーthreshold leak
age)につながり、デバイスの誤動作を起こす。このよ
うな問題は、窒化ケイ素の中に捕獲された電荷が解放さ
れれば防ぐことができる。従来の手法では、この問題
を、デバイスの製造プロセスの終わりに近いところで窒
化ケイ素をかなり高温で加熱するか、捕獲された電荷を
持つ窒化ケイ素を例えば熱いリン酸でエッチングして除
去することにより解決してきた。しかし、プロセスの終
わりで加熱することはデバイス内部の金属化に悪影響を
及ぼし、また、デバイスの構造的整合性を維持するため
には、窒化ケイ素の除去は多くの場合実際的ではない。
従って、一般的には、捕獲電荷の除去は、窒化ケイ素を
除去しない比較的低温のプロセス・ステップで行うこと
が望ましい。
【0003】
【発明が解決しようとする課題】プロセス中において、
半導体デバイスは、例えばシリコンを酸化するために、
酸化環境に屡々曝される。都合のよいことに、デバイス
内部の窒化ケイ素層は、このような酸化環境に曝される
ことがあるが、従来技術の教えるところでは、窒化ケイ
素は酸化しないという理由で、酸化によっては窒化ケイ
素は除去できないものとされてきた。しかし、酸化によ
って窒化ケイ素が酸化ケイ素に転化できるなら、窒化ケ
イ素が注入された電子を捕獲するという問題はなくな
る。多くの場合、ある構造物の窒化ケイ素を酸化して酸
化ケイ素を形成することは、窒化ケイ素をエッチングで
除去してその後酸化ケイ素を成長させるよりは、製造工
程に組み入れやすい。さらに、捕獲された電荷に敏感な
領域から窒化物を除去できれば、製造ステップの終わり
に近いところで高温のプロセス・ステップを行う必要が
なくなる。
【0004】また、ある場合には、窒化ケイ素を酸化す
ることにより、デバイスの物理的寸法を調整できる融通
性が得られる。窒化物の物質特性を利用して、窒化ケイ
素層がデバイスの構造上の要素として利用されることが
多い。例えば、軽くドープされたドレーン・デバイスに
おいて、窒化ケイ素のスペーサが、デバイスのソース・
ドレーン領域へのイオン注入物の間隔を保つのに使われ
る。窒化ケイ素層が酸化できるならば、例えば注入物用
のスペーサとしての最初の窒化ケイ素層の寸法を、後の
ステップで都合よく使用できるように、調整することが
できる。
【0005】従って、半導体デバイスの窒化ケイ素を酸
化する方法が要求される。ある場合には、この酸化によ
り、捕獲された電荷の量を減らし寄生漏れ経路の形成を
防止することができ、また他の場合には、この酸化によ
り窒化ケイ素層を持つデバイスの構造上の寸法を細かく
調整する融通性をもたらすことができる。
【0006】
【課題を解決するための手段】本発明の原理、即ちフッ
素化合物を使って半導体デバイスの窒化ケイ素層を酸化
する方法により、上記の要求は満足され、従来技術の限
界が克服され、他の有利な点も実現される。本発明の方
法では、窒化ケイ素を酸化するのに十分な高温で、酸素
反応物(oxygen reactant)とフッ素化合物の希釈量の
混合物に、窒化ケイ素層が露出される。具体化として、
酸素反応物は二原子酸素(diatomic oxygen)であるこ
とが望ましい。しかし、他の具体化では、酸素反応物は
プラズマ中で得られる原子酸素(atomic oxygen)、オ
ゾン、イオン化酸素基(ionized oxygen radicals)で
もよいし、あるいは、二原子酸素を伴うかあるいは伴わ
ないこれらの混合物でもよい。 一般的には、約600℃
以上の温度が酸化を起こすのに十分な温度で、それより
低い温度で起こる酸化もある。温度の上限範囲、反応時
間、反応混合物の圧力度は決定的な要因ではない。フッ
素化合物の濃度もさほど重要ではなく、一般的には、全
混合物量に対して体積比で約100から1500ppmの間がよ
い。しかし、より低いかかなり高い濃度を使用できる場
合もある。アルゴンのような希釈液も酸化混合物の1つ
の成分として使用することもできる。
【0007】本発明の具体化として、フッ素化合物はNF
3で、約700℃以上、望ましくは800℃から1000℃の範囲
の温度を使用するのが良い。混合物の中のNF3の濃度は
体積比で、一般的には1500ppmより低いがこれが重要な
上限ではない。望ましい濃度は約100から1000ppmの範囲
である。
【0008】本発明の1つの利点は、窒化ケイ素層中に
存在する捕獲電荷が、酸化後に実質的に解放されること
である。さらに、窒化ケイ素は酸化ケイ素に転化され
る。酸化ケイ素は窒化ケイ素よりも捕獲する電荷量が小
さいことが知られている。従って、製造プロセス・ステ
ップ中においてもデバイスの作動中においても、捕獲さ
れる電荷が小さい。多くの場合、電荷を除去すること
は、デバイス内部の好ましくない寄生漏れ経路をなくす
ことができる。
【0009】本発明のもう1つの利点は、NF3を添加せ
ずに酸化物を成長させる他のプロセス・ステップに較べ
て、窒化ケイ素層を酸化するのに必要な温度が低いこと
である。また、窒化ケイ素を持つ層を酸化することは、
その層の物理的寸法に影響を与えることが予測できるの
で、ある場合には、酸化によってその種の層の寸法を調
整できることである。
【0010】さらにある場合には、デバイスの別の部分
に露出しているシリコンを、窒化ケイ素と同時に酸化で
きる。即ち本発明の付随的な利点は、酸化混合物中にフ
ッ素が存在するために、シリコンを酸化している間に、
デバイス内のシリコンの角部を丸くできることである。
さらに、NF3の量と酸化温度を制御することにより、シ
リコン上に成長する酸化物の量を窒化ケイ素上に成長す
る酸化物の量と較べながら制御できるのも本発明の利点
である。
【0011】
【実施例】本発明により、窒化ケイ素を酸化するのに十
分な高温で、酸素反応物とフッ素化合物を含む酸化混合
物に半導体デバイスの窒化ケイ素層を露出して酸化す
る。この種の酸化には、一般的には、600℃より高い温
度で十分で、これより低温でもある種の酸化は起こる。
フッ素化合物中のフッ素原子が窒化ケイ素層中の窒化ケ
イ素結合を弱める程に反応性が高くなると、反応温度が
十分に高くなると考えられている。本発明では、半導体
のプロセスにふさわしい特性を持つフッ素原子を含む多
くの化合物がフッ素化合物として使える。これらのフッ
素化合物には、NF3、SF6、SiF4、CF4、C2H3Cl2Fがあ
る。この目的に使う化学製品の唯一の有意な制限は、炉
の中の熱せられた領域に、化学製品をガスの形でのみ導
入できることである。
【0012】本発明を具体化するには酸素反応物は二原
子酸素が望ましい。しかし、他の具体化には、酸素反応
物は、プラズマの中で得られる原子酸素、オゾン、イオ
ン化酸素基、あるいは、二原子酸素を伴うかあるいは伴
わないこれらの混合物でもよい。酸素基を作成するため
のプラズマは、熱した「つかみ」即ちチャック(chuc
k)を備えたプラズマ・チェンバ(例えばAME 5000)を
使って生成することができる。
【0013】フッ素化合物の濃度は、一般的には、広い
範囲の希釈濃度である。具体的には、濃度は全混合物の
量に体積比で約100から1500ppmの間である。しかし、他
の場合には、より低いかより高い濃度を使用できる。
【0014】希釈液は、アルゴンや窒素のような酸化混
合物の1部として使用できる。混合物中の希釈液の割合
は重要でなく、広い範囲で変えることができる。1つの
例として、この割合は反応混合物の全量に対し体積比で
約10から95%の範囲でよい。希釈液は反応ガスをよく混
合させ、ウェーハの全ての部分に均一に反応ガスを行き
渡らせるのに役に立つと考えられている。
【0015】本発明により酸化される窒化ケイ素層を持
つ半導体ウェーハはいくつかの方法で加熱することがで
き、加熱方法そのものは重要ではない。望ましい具体化
としては、ウェーハは高速熱アニール(rapid thermal
annealing: RTA)で加熱するのが良い。ウェーハ加熱の
他の手法としては、炉中の加熱、フッ素化合物を用いて
プラズマ中でのウェーハ・チャック上での加熱、また
は、レーザ・アニールによる局部加熱がある。
【0016】ウェーハが酸化混合物に露出される時間の
長さそのものは重要ではなく、使用する加熱システム、
フッ素化合物の濃度、酸化中のウェーハが熱せられる温
度を含むいくつかの要素に依存する。一般的には、高速
熱アニールによる加熱では、酸化時間は約1分から10分
で、炉による加熱では酸化時間は約20分から40分であ
る。しかし、具体的なプロセス条件や目標(ターゲッ
ト)によってはこの範囲以外の時間も使用できる。酸化
混合物の圧力も重要ではなく、望ましい具体化では、混
合物は大気圧でよく、または、広い範囲の圧力も使用で
きる。
【0017】本発明により酸化する半導体ウェーハの窒
化ケイ素層の形状は、前処理プロセスと形成されるデバ
イスの型によって大いに変わり得る。しかし、本発明に
よる反応はどの形状即ち垂直、水平、または、他の形状
にも使用できる。
【0018】窒化ケイ素層上に形成される酸化物膜の厚
さは広い巾を持つ。例えば、約300Å程の厚さでも良い
し、一般的には、酸化の対象の窒化物の量によってのみ
限定される。しかし、成長できる酸化物の厚さを制限す
る何らかの要素があると考えられている。ある場合に
は、本発明によって酸化化合物への露出の時間が長くな
れば、酸化の厚さも最大の限界に達する。具体的には、
長い時間により窒化物が費消されても、酸化物の厚さは
最大限界に達する。このことは、酸化混合物は、新しく
酸化物を形成するために窒化物を酸化しているのみなら
ず、形成されたばかりの酸化物をエッチングしているこ
とを意味する。形成される酸化物の最大の厚さは、これ
ら2つの競合し合うプロセスの間の釣り合いによって決
定される。
【0019】具体的なメモリ・セルの応用で以下に説明
するように、シリコン層を酸化(例えばゲートまたはス
クリーン酸化物を形成するため)するのと同時に窒化ケ
イ素層の酸化を行うことが望ましいことが多い。このよ
うに同時に酸化を行う場合には、相対的反応速度は酸化
混合物中のフッ素化合物の濃度と反応温度に依存する。
【0020】本発明の具体化では、NF3がフッ素化合物
で、約700℃以上、望ましくは800℃から1000℃の範囲の
温度を使用するのが望ましい。混合物中のNF3の濃度は
体積比で一般的には1500ppm以下であるが、これが重要
な上限ではない。望ましい濃度は約100から1000ppmの範
囲である。窒化ケイ素層を約50から250Åの深さに酸化
するために、高速熱アニールを約1分から5分間使用す
る。酸化混合物はアルゴンを希釈液にした二原子酸素を
含む。酸素に対するアルゴンの比は約4:1である。NF3
度が約500ppm以上で温度が約1000℃以下では、NF3濃度
を濃くするほど酸化物の厚さが増える。
【0021】本発明を以下の例を使ってさらに説明す
る。この例は説明を明確にするためのものであって本発
明の範囲を限定するものではない。
【0022】表1に示すように、シリコンの頂層を持つ
モニター用の第1の半導体ウェーハの上に、約225Åの
厚さの酸化物を成長させるのに要する時間を決定する目
的で、いくつかの温度と濃度条件で露出させた。次に、
第2の半導体ウェーハの上の窒化ケイ素層を酸化させる
ために、温度と濃度のこの同じ組み合わせを使用した。
第1のウェーハの目的は、225Åの酸化物の厚さを成長
させるのに要する酸化時間を決めるためである。これを
行ったのは、半導体プロセスでは、酸化物(例えばゲー
ト酸化物)は、具体的なターゲットの厚さ(この例では
225Å)で形成させるからである。第2のウェーハの目
的は、窒化ケイ素層を酸化して225Åの酸化物を形成す
るのに要したのに対応する半導体デバイスの製造条件を
決定するためである。
【0023】次に、第1のモニター用のウェーハを使っ
て所与のプロセス条件の組み合わせで要した酸化時間を
使い、本発明による酸化化合物を使って、第2のウェー
ハ上の窒化ケイ素層を酸化した(第2のウェーハの酸化
についてはさらに以下に詳述する)。表1に、第1のウ
ェーハ上に225Åの酸化物を形成するのに要した時間を
プロセス条件に対比して示す。
【0024】約1760Åの厚さの窒化ケイ素層を持つ第2
のウェーハを、第1のモニター用ウェーハに使ったのと
同じ温度と濃度の条件にかけた。言い換えれば、第2の
ウェーハを、本発明による酸化化合物に、225Åの酸化
物を形成するのに要すると判断した時間露出した。この
時間は第1のモニター・ウェーハの酸化の結果から得ら
れたものである。
【0025】第2のウェーハの上の窒化ケイ素層を酸化
するために、アルゴンと二原子酸素の混合物に混ぜたNF
3の希釈量を、AGアソシエイツ社(AG Associates)が
製造した高速熱アニール・システムに供した。高速熱ア
ニール・システムへのアルゴンの流量は毎分約8リット
ル、酸素の流量は毎分約2リットル、NF3の流量は1から5
sccmの間で変化させた。温度は850℃、900℃、1000℃
であった。
【0026】窒化ケイ素を酸化した後、窒化ケイ素上の
酸化物の厚さを偏光解析法で測定した。この厚さは本発
明によって窒化ケイ素層が酸化ケイ素に転化した部分の
厚さに相当する。結果を表1に示す。このデータは図1
にも示し、以下に詳述する。
【0027】
【表1】 温度(℃) NF3流量(sccm) 時間(秒) 酸化物の厚さ(Å) 1000 1 145 73 1000 2.5 77 103 1000 5 45 96 900 1 210 88 900 2.5 120 113 900 5 100 156 850 1 280 87 850 2.5 240 144 850 5 200 200 図1は、窒化ケイ素層の上に本発明による酸化によって
形成された酸化物層の厚さと、酸化温度℃をフッ素化合
物の3つの異なる濃度毎に表したグラフである。このグ
ラフのデータは、アルゴン対酸素の割合4:1(アルゴ
ン:酸素)の混合物中にNF3をフッ素化合物として使
い、高速熱アニールを使用し反応時間を1分から5分にし
た時のグラフである(このデータは上述の例の結果から
得た)。しかし、他のフッ素化合物についても同様のパ
ターンが得られる。
【0028】図1で、縦軸は最初の厚さが1760Åの平坦
形状の窒化ケイ素層の表面上に形成された酸化物の厚さ
をÅで示したものである。これらのデータにおいて酸化
時間は一定ではなく、むしろグラフに示した特定の酸化
温度とNF3の濃度でシリコン層上に約225Åの厚さの酸化
物を形成するのに要する時間によって定められたもので
ある(これについては、上の例で詳述した)。NF3の濃
度が増えるか、または、温度が1000℃以下に下がるかに
より、シリコン層上に成長した酸化物と関連して、窒化
ケイ素層上に成長した酸化物の厚さが増える。この2つ
の傾向とも、より高い濃度とより低い温度の範囲の方向
に続くと考えられる。また、他のフッ素化合物を使用し
ても同様の傾向をたどると考えられる。
【0029】本発明を、以下の1つの具体例によってさ
らに詳述する。この用途はメモリ・デバイスの製造に関
わり、説明を明確にするために提供するものであって本
発明の範囲を限定するものではない。むしろ、異なる構
造を持ち、ゲート導体の側面に以前に形成された窒化物
スペーサの酸化のような異なるプロセス・ステップで形
成された他の種類のデバイスにも使用することができ
る。
【0030】図2は、本発明の1つの具体化を使用して
形成された溝の深いメモリ記憶セル10の表層を切り取
った透視図である。このデバイスの構造と製造に関する
詳細は本発明の実行にあたって重要ではなく、詳細は米
国特許4,801,988に記載されており、本発明が参照・包
含する。本発明の実行に必要な全ての情報は以下に詳述
する。
【0031】図2に示すように、P-型ドーパントでドー
プされたシリコン基板(即ちP+基板)14に配置された
N-ウェル12の中にメモリ・セル10が形成されてい
る。セル10のメモリ内容に対応する電荷を蓄えるのに
使われる深い溝16が、N-ウェル12を介して基板14
にエッチングされている。P-チャネル・トランスファ・
デバイス18がビットライン22に接続されているソー
ス/ドレーン領域20と、ポリシリコン表面ストラップ
24によって溝16に接続されているもう1つのソース
/ドレーン領域23を持っている。
【0032】図3は、図2の溝16の上部部分を詳細に
示す断面図である。この構造は、ゲート酸化物の成長と
ゲート・ポリシリコン付着の前の状態を示す。溝16は
開口部(図示せず)を介して表面ストラップ24に接続
されている上端25を持っている。溝16の形成中、溝
が基板14にエッチングされた後、ONO絶縁層26が溝
16の内表面上に形成される。ONO層26は複合層で、
最初に溝16の内表面を酸化して 40Åの酸化ケイ素層
を形成し、次に、90Åの窒化ケイ素層を形成し、最後に
この窒化物層を1000℃の蒸気酸化(steam oxidation)
に16分間曝して、窒化物の上部20Åを30Åの酸化物に転
化(この層は図3でなく図4に示す)することで形成さ
れたものである。次に溝16はポリシリコンで充填さ
れ、部分的にエッチングされて、第1のポリシリコン充
填層28が形成される。酸化物層が充填層28の上にな
るように付着されて、それからエッチ・バックされて酸
化物カラー(collar)30が形成される。
【0033】酸化物カラー30形成の後、溝16の頂端
がポリシリコンで充填され、次に約2000Åエッチ・バッ
クされて第2のポリシリコン充填層32が形成される。
最後に、キャップ酸化物層34が充填層32の上に形成
される。層34はポリッシングによって、この平坦化の
ためにN-ウェル上に形成された窒化物層(図示せず)ま
で平坦化される。ポリッシングの後、窒化物は熱いリン
酸を使って除去される。図3はこの除去の後の構造を示
す。
【0034】図4は、図3に示した溝16の右上部分の
詳細な断面図である。上述のように、ONO絶縁層26
は、第1の酸化ケイ素層36、窒化ケイ素層38、およ
び、第2の酸化物層40を持つ複合層である。上述のよ
うに、酸化物キャップの平坦化と窒化物のポリッシュ・
ストップのエッチングの後、窒化物ポリッシュ・ストッ
プの下にあった薄い酸化物(図示せず)が希釈フッ酸浴
(dilute HF acid bath)を使ってエッチングされる。
このエッチングにより、キャップ酸化物層34、酸化物
カラー30、および、酸化物層40の一部分42も除去
される。
【0035】図5は、図4の溝16であるが、窒化ケイ
素の酸化を行わずに、ゲート酸化物46とポリシリコン
・ゲート層48が形成された断面図である。言い換えれ
ば、図5は、本発明を使わない即ち窒化ケイ素層を酸化
しない場合の溝16の形状である。上述の希釈フッ酸浴
に浸漬(dipping)した後、イオン注入物の閾値を調整
(threshold tailoring)するためにスクリーン酸化物
(図示せず)を成長させ、その後、希釈フッ化水素(di
lute HF)を使って除去する。この除去の結果、窒化ケ
イ素層38の部分44が、キャップ酸化物層34と酸化
物カラー30として酸化ケイ素によって露出され、酸化
物層40はさらにフッ酸浴によってエッチ・バックされ
る。部分44の厚さは約200Åである。次に、ゲート酸
化物46がN-ウェル12上に成長され、ゲート酸化物4
6と溝16の上にポリシリコン・ゲート層48が付着さ
れる。図4で示した酸化物層36がゲート酸化物層46
の部分として示されている。
【0036】本発明の方法を使わなければ、スクリーン
酸化物またはゲート酸化物が成長している間に窒化ケイ
素層38は酸化されず、また、ポリシリコン・ゲート層
48は下方に溝の頂端方向に向かって延び、窒化ケイ素
層38の部分44がポリシリコン層48とN-ウェル12
の間に配置することになる。
【0037】メモリ・セル・デバイスのプロセスの間
(例えばプラズマ・プロセスの間)に電荷が起こるの
で、窒化ケイ素層38の界面50に電子が注入され捕獲
される。この捕獲された陰電荷は、上述のプロセスの結
果生じた形状のために形成された寄生P-チャネル・デバ
イス52を活性化(turn on)する傾向がある。具体的
には、ポリシリコン層48は寄生デバイス52のゲート
を形成している。界面50における電荷は界面54の近
くのN-ウェル物質の反転を助け、その結果、トランスフ
ァ・デバイス18のソースからドレーンへの導電が起こ
る。界面50に捕獲された陰電荷があるために、寄生デ
バイス52の閾値電圧が低くなり、メモリ・セルの作動
中に漏れ経路が屡々できる。製造後のメモリ・セルの作
動中にも界面50で電荷の捕獲が起こり、信頼性の低下
につながることがある。以下に述べるように、ポリシリ
コン層48とN-ウェル12の間に配置された窒化ケイ素
層は本発明による酸化によって除去することができ、寄
生デバイス52を実質的になくすことができる。
【0038】図6は図4の溝で、本発明による窒化ケイ
素の酸化によりスクリーン酸化物56が形成された断面
図である。即ち図6は、図4のプロセスに続けて本発明
を使って窒化ケイ素層の頂部を酸化したものである。上
述したように、キャップ酸化物層34、酸化物カラー3
0、および、酸化物層36(図示せず)と40の部分4
2を希釈フッ酸浴で除去した後、イオン注入時に使用す
るためにスクリーン(犠牲的とも称する)酸化物層56
がN-ウェル12上に成長され、約225Åの厚さを持って
いる。図4の酸化物層36がスクリーン酸化物層56の
1部として示されている。
【0039】本発明により、N-ウェル12の頂面58で
シリコンを酸化している間に、窒化ケイ素層38の頂部
が酸化され酸化ケイ素層60が形成される。シリコンお
よび窒化ケイ素層の両方とも、先に詳述したプロセス条
件で、酸素とフッ素化合物(望ましいのはNF3)の混合
物を使った同じプロセスで・ステップで酸化される。フ
ッ素化合物を使って酸化をしない場合の形状に較べて、
シリコン角61の形状が丸い形状になることも本発明の
利点である。
【0040】図7は、図6の溝上にゲート酸化物62と
ポリシリコン・ゲート層64が形成された断面図であ
る。上述のイオン注入の後、スクリーン酸化物56が除
去される。ゲート酸化物62がN-ウェル12の頂面63
上に成長される。ゲート酸化物62を本発明による酸化
混合物を使用して成長させても良く、これにより窒化ケ
イ素層38の1部分がさらに酸化される。望ましい手法
としては、窒化ケイ素層38の酸化はスクリーン酸化物
の成長中のみに行い、ゲート酸化物の成長中には行わな
いのがよい。しかし、場合によっては、窒化物層38の
酸化はゲート酸化物の成長中のみに行うか、または、二
段階、即ち上述のように、初めはスクリーン酸化物の成
長中、次にゲート酸化物の成長中に酸化することもでき
る。
【0041】本発明により窒化物層38が酸化された
後、酸化物層60の下位部66は通常、キャップ酸化物
層34、酸化物カラー30、および、酸化物層40の頂
面68より下部に延びる。この結果が生じるのは、フッ
素化合物を含む酸化混合物が部分的に上部の酸化物層に
拡散し、酸化物層60の部分66を酸化するからであ
る。例えば典型的なデバイスでは、下位部66は頂面6
8より下に約300Å延びる。スクリーン酸化物の除去が
キャップ酸化物をも約200Å除去するので、この結果は
有意義である。ゲート・ポリシリコンが酸化されていな
い窒化物層38に重ならないようににすることが望まし
い。窒化物が酸化された後、実質的に全ての捕獲電荷が
周囲の酸化物に解放され、ウェーハが高温になると、電
荷は基板に導電される。さらに、後工程のプロセスや他
の作動から起こる電荷は酸化物層60に捕獲されること
はない。
【0042】ゲート酸化物62が形成された後、その上
にポリシリコン・ゲート層64が付着される。ポリシリ
コン層64がまだ酸化物層60(以前は窒化ケイ素層3
8)の頂部70の周囲を包んでいるが、酸化物層60が
実質的に電荷を捕獲しないので寄生漏れ経路が形成され
ず、寄生P-チャネル・デバイス72の閾値電圧を下げる
ことはない(窒化ケイ素が酸化されなかった場合には起
こり得る)。
【0043】ポリシリコン層64を付着した後、通常の
プロセスを続ける。これには、ポリシリコン層のエッチ
ング、メモリ・セルへのデバイス用の接合の埋め込み、
表面ストラップの形成、パシベーションの形成が含まれ
る。
【0044】本発明の以上の記載は、詳述した具体例に
限定するためではなく、さらに、本発明の原理を利用し
ていくつかの応用が可能である。
【0045】例えば、本発明の別の具体化として、本発
明の酸化混合物を使って、溝セル中の窒化物層を、最初
のポリシリコン充填層が形成された後しかし酸化物カラ
ーが形成される前に、酸化することもできる。この手法
は、最初のポリシリコン充填層の露出された表面からの
除去を行うために、湿式または乾式酸化物エッチの使用
が必要になる。
【0046】また、本発明のもう1つの使い方として、
窒化ケイ素の酸化によって得られる融通性を利用して、
デバイスの物理的寸法の調整ができる。窒化物の優れた
物質特性を利用して、窒化ケイ素層がデバイスの構造的
要素として使用されることが多い。例えば、軽くドープ
されたドレーン・デバイスでは、窒化ケイ素のスペーサ
が、デバイスのソース/ドレーン領域に注入されたイオ
ン注入物の間隔をとるために使える。窒化ケイ素層が本
発明により酸化されると、最初の窒化ケイ素層(例えば
スペーサ)の寸法が膨張する。この膨張を変えて、軽く
ドープされたドレーン・デバイスの注入物の間隔を調整
することができる。
【0047】また、窒化ケイ素を等方性に除去したい時
にも本発明が使える。例えば、半埋設酸化物(semiーrec
essed oxide: SROX)アイソレーションを形成する時、
シリコンの窒化により「Kooi」型の欠陥が生じる。しか
しある場合には、本発明の酸化混合物を使って半埋設酸
化物を形成することにより、これらの欠陥を生じないよ
うにできる。
【0048】
【発明の効果】上述したように、本発明は、フッ素化合
物を使って半導体デバイスに広く用いられている窒化ケ
イ素層を酸化することにより、本方法による酸化を行わ
なかった場合に起こり得る電荷の捕獲を減らし、寄生漏
れ経路の形成とそれによって起こり得るデバイスの誤動
作を防ぎ、かつ、本方法の酸化によって窒化ケイ素の物
質特性から得られる融通性を利用して、デバイス構造の
寸法を調整できる方法を提供するものである。
【図面の簡単な説明】
【図1】窒化ケイ素の酸化により窒化ケイ素層に形成さ
れた酸化物層の厚さと、フッ素化合物の3種類の異なる
濃度に対する酸化温度とを対比したグラフ。
【図2】本発明の1つの具体化により形成された深溝の
メモリ記憶セルの表層部を切り取った透視図。
【図3】図2の溝の細部を示す断面図。
【図4】図3の溝の右上部の細部を示す断面図。
【図5】図4の溝に、窒化ケイ素層を酸化しないでゲー
ト酸化物とポリシリコン層が形成された様子を示す断面
図。
【図6】図4の溝に、窒化ケイ素層を酸化してスクリー
ン酸化物を形成した断面図。
【図7】図6の溝の上に、ゲート酸化物とポリシリコン
層が形成された後の断面図。
【符号の説明】
10 メモリ・セル 12 N-ウェル 14 シリコン基板 16 深い溝 18 P-チャネル・トランスファ・デバ
イス 20、23 ソース/ドレーン領域 22 ビット・ライン 24 ポリシリコン表面ストラップ 26 絶縁層 28、32 ポリシリコン充填層 30 酸化物カラー 34 キャップ酸化物層 36、40、60 酸化ケイ素層あるいは酸化物層 38 窒化ケイ素層 46、62 ゲート酸化物 48、64 ポリシリコン・ゲート層 50、54 界面 52、72 寄生P-チャネル・デバイス 56 スクリーン酸化物 58、63 N-ウェルの頂面 61 シリコン角
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジョセフ ワレン コレジュワ アメリカ合衆国 05482 バーモント州 シェルバーン アールアール1 ボックス 1204 (72)発明者 ジェローム ブレット ラスキ アメリカ合衆国 05452 バーモント州 エセックスジャンクション マレイロード 11 (72)発明者 パイーハング パン アメリカ合衆国 12533 ニューヨーク州 ホープウェルジャンクション クロブコ ート2

Claims (44)

    【特許請求の範囲】
  1. 【請求項1】 窒化ケイ素層を持つ半導体デバイスを
    製造する方法で、該方法が、酸素反応物とフッ素化合物
    の希釈量を有する混合物を使用し、上記窒化ケイ素が実
    質的に酸化するに十分な高温で、上記窒化ケイ素層を酸
    化するステップを有する方法。
  2. 【請求項2】 上記温度が約600℃以上である請求項
    1に記載の方法。
  3. 【請求項3】 上記温度が約700℃以上である請求項
    1に記載の方法。
  4. 【請求項4】 上記温度が約800℃から1000℃の間で
    ある請求項1に記載の方法。
  5. 【請求項5】 上記混合物中のフッ素化合物の割合が
    約1500ppm以下である請求項1に記載の方法。
  6. 【請求項6】 上記混合物中のフッ素化合物の割合が
    約100ppmから1500ppmの間である請求項1に記載の方
    法。
  7. 【請求項7】 上記混合物が希釈液を含む請求項1に
    記載の方法。
  8. 【請求項8】 上記混合物中の上記希釈液の割合が上
    記混合物の全量に対する体積比で約10%から95%である
    請求項7に記載の方法。
  9. 【請求項9】 上記希釈液がアルゴンを含む請求項8
    に記載の方法。
  10. 【請求項10】 上記フッ素化合物がNF3、SF6、SiF4
    CF4、および、C2H3Cl2Fからなるグループから選択され
    る請求項1に記載の方法。
  11. 【請求項11】 上記温度が約700℃以上である請求項
    10に記載の方法。
  12. 【請求項12】 上記窒化ケイ素層を高速熱アニールを
    使用して加熱するステップをさらに有する請求項5に記
    載の方法。
  13. 【請求項13】 上記窒化ケイ素層を炉を使用して加熱
    するステップをさらに有する請求項5に記載の方法。
  14. 【請求項14】 上記酸化するステップが実質的に大気
    圧で行われる請求項5に記載の方法。
  15. 【請求項15】 上記窒化ケイ素層の上記酸化と実質的
    に同時に、酸素反応物とフッ素化合物を有する上記混合
    物を使用してシリコン層を酸化するステップをさらに有
    する請求項5に記載の方法。
  16. 【請求項16】 上記酸素反応物が、二原子酸素、原子
    酸素、オゾン、イオン化酸素基からなるグループから選
    択される請求項5に記載の方法。
  17. 【請求項17】 上記シリコン層が酸化されてスクリー
    ン酸化物またはゲート酸化物を形成する請求項15に記
    載の方法。
  18. 【請求項18】 窒化ケイ素層を持つ半導体デバイスを
    製造する方法で、該方法が、酸素反応物とフッ素化合物
    の希釈量を有する混合物を使用し、約700℃以上の温度
    で、上記混合物中の上記フッ素化合物の割合が約1500pp
    m以下で、上記窒化ケイ素層を酸化するステップを有す
    る製造方法。
  19. 【請求項19】 上記フッ素化合物の割合が約100ppmか
    ら1500ppmの間である請求項18に記載の方法。
  20. 【請求項20】 上記温度が約800℃から1000℃の間で
    ある請求項19に記載の方法。
  21. 【請求項21】 上記フッ素化合物がNF3である請求項
    20に記載の方法。
  22. 【請求項22】 上記酸素反応物が、二原子酸素、原子
    酸素、オゾン、イオン化酸素基からなるグループから選
    択される請求項21に記載の方法。
  23. 【請求項23】 上記NF3の割合が約100ppmから1000ppm
    の間である請求項22に記載の方法。
  24. 【請求項24】 上記混合物が希釈液を含む請求項23
    に記載の方法。
  25. 【請求項25】 上記希釈液がアルゴンである請求項2
    4に記載の方法。
  26. 【請求項26】 上記酸化するステップが実質的に大気
    圧で行われる請求項25に記載の方法。
  27. 【請求項27】 上記窒化ケイ素層を高速熱アニールを
    使用して加熱するステップをさらに有する請求項26に
    記載の方法。
  28. 【請求項28】 半導体デバイスを製造する方法で、該
    方法は、 窒化ケイ素層を用意し、 酸素反応物とNF3を有するガス混合物を使用し、約700℃
    以上の温度で、上記混合物中のNF3の割合が上記混合物
    の全量に対する体積比で約1500ppm以下で、上記窒化ケ
    イ素層を酸化する、 ステップを有する製造方法。
  29. 【請求項29】 上記温度が約800℃から1000℃の間で
    ある請求項28に記載に方法。
  30. 【請求項30】 上記NF3の割合が約100ppmから1000ppm
    の間である請求項29に記載の方法。
  31. 【請求項31】 上記酸素反応物が、二原子酸素、原子
    酸素、オゾン、イオン化酸素基からなるグループから選
    択される請求項30に記載の方法。
  32. 【請求項32】 上記混合物が希釈液を有する請求項3
    1に記載の方法。
  33. 【請求項33】 半導体デバイスを製造する方法で、該
    方法は、 半導体基板を用意し、 上記基板に溝をエッチングし、上記溝は内表面と頂端を
    有し、上記溝は上記基板の主要部に延び、 上記溝の上記内表面上に窒化ケイ素を有する絶縁層を形
    成し、 酸素反応物とNF3の希釈液とを有する混合物を使用し、
    約700℃以上の温度で上記窒化ケイ素を酸化する、 ステップを有する製造方法。
  34. 【請求項34】 上記混合物中のNF3の濃度が上記混合
    物の全量に対する体積比で約1500ppm以下である請求項
    33に記載の方法。
  35. 【請求項35】 上記絶縁層の1部の上に配置されるよ
    うに酸化物カラーを形成し、 上記溝の頂端の近くに頂面を持つキャップ酸化物を形成
    する、 ステップをさらに有する請求項34に記載の方法。
  36. 【請求項36】 上記絶縁層が酸化ケイ素、窒化ケイ
    素、および、酸化ケイ素からなる層である請求項35に
    記載の方法。
  37. 【請求項37】 上記溝をポリシリコンで実質的に充填
    するステップをさらに有する請求項36に記載の方法。
  38. 【請求項38】 上記窒化ケイ素が上記溝の上記頂端の
    近くの領域で酸化される請求項37に記載の方法。
  39. 【請求項39】 上記窒化ケイ素が上記キャップ酸化物
    の頂面より下位で、寄生漏れ電流を排除するに十分な深
    さまで、酸化される請求項38に記載の方法。
  40. 【請求項40】 上記半導体デバイスが深い溝のメモリ
    ・セルである請求項38に記載に方法。
  41. 【請求項41】 上記酸素反応物が、二原子酸素、原子
    酸素、オゾン、イオン化酸素基からなるグループから選
    択される請求項34に記載の方法。
  42. 【請求項42】 上記温度が約800℃から1000℃の間で
    ある請求項41に記載の方法。
  43. 【請求項43】 上記混合物が上記混合物の全量に対す
    る体積比で約10%から95%の割合の希釈液を含む請求項
    42に記載の方法。
  44. 【請求項44】 上記混合物中のNF3の割合が上記混合
    物の全量に対する体積比で約100ppmから1500ppmの間で
    ある請求項43に記載の方法。
JP6036353A 1993-04-27 1994-02-10 半導体デバイスの製造方法 Expired - Lifetime JP2607834B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/054,112 1993-04-27
US08/054,112 US5434109A (en) 1993-04-27 1993-04-27 Oxidation of silicon nitride in semiconductor devices

Publications (2)

Publication Number Publication Date
JPH06314777A true JPH06314777A (ja) 1994-11-08
JP2607834B2 JP2607834B2 (ja) 1997-05-07

Family

ID=21988885

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6036353A Expired - Lifetime JP2607834B2 (ja) 1993-04-27 1994-02-10 半導体デバイスの製造方法

Country Status (5)

Country Link
US (1) US5434109A (ja)
EP (1) EP0624899B1 (ja)
JP (1) JP2607834B2 (ja)
KR (1) KR0122513B1 (ja)
DE (1) DE69425527T2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7095093B2 (en) 2001-06-29 2006-08-22 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing a semiconductor device
JP2007165733A (ja) * 2005-12-16 2007-06-28 Elpida Memory Inc 半導体装置及びその製造方法

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3590416B2 (ja) * 1993-11-29 2004-11-17 アネルバ株式会社 薄膜形成方法および薄膜形成装置
TW236710B (ja) * 1994-04-08 1994-12-21
JP3660391B2 (ja) * 1994-05-27 2005-06-15 株式会社東芝 半導体装置の製造方法
JP2630257B2 (ja) * 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
JP3304621B2 (ja) * 1994-07-29 2002-07-22 三菱電機株式会社 半導体装置の製造方法
US6207494B1 (en) * 1994-12-29 2001-03-27 Infineon Technologies Corporation Isolation collar nitride liner for DRAM process improvement
JP2701795B2 (ja) * 1995-06-28 1998-01-21 日本電気株式会社 プロセスシミュレーション方法
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
US5869405A (en) * 1996-01-03 1999-02-09 Micron Technology, Inc. In situ rapid thermal etch and rapid thermal oxidation
US5738909A (en) * 1996-01-10 1998-04-14 Micron Technology, Inc. Method of forming high-integrity ultrathin oxides
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6057216A (en) * 1997-12-09 2000-05-02 International Business Machines Corporation Low temperature diffusion process for dopant concentration enhancement
US6960818B1 (en) * 1997-12-30 2005-11-01 Siemens Aktiengesellschaft Recessed shallow trench isolation structure nitride liner and method for making same
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
US6033998A (en) * 1998-03-09 2000-03-07 Lsi Logic Corporation Method of forming variable thickness gate dielectrics
US6201276B1 (en) * 1998-07-14 2001-03-13 Micron Technology, Inc. Method of fabricating semiconductor devices utilizing in situ passivation of dielectric thin films
US6218720B1 (en) 1998-10-21 2001-04-17 Advanced Micro Devices, Inc. Semiconductor topography employing a nitrogenated shallow trench isolation structure
US6194736B1 (en) 1998-12-17 2001-02-27 International Business Machines Corporation Quantum conductive recrystallization barrier layers
US6236077B1 (en) 1999-04-20 2001-05-22 International Business Machines Corporation Trench electrode with intermediate conductive barrier layer
US6259129B1 (en) 1999-04-20 2001-07-10 International Business Machines Corporation Strap with intrinsically conductive barrier
US6521529B1 (en) * 2000-10-05 2003-02-18 Advanced Micro Devices, Inc. HDP treatment for reduced nickel silicide bridging
US6458650B1 (en) 2001-07-20 2002-10-01 Taiwan Semiconductor Manufacturing Company CU second electrode process with in situ ashing and oxidation process
US6451662B1 (en) * 2001-10-04 2002-09-17 International Business Machines Corporation Method of forming low-leakage on-chip capacitor
US6559014B1 (en) 2001-10-15 2003-05-06 Advanced Micro Devices, Inc. Preparation of composite high-K / standard-K dielectrics for semiconductor devices
US6562491B1 (en) 2001-10-15 2003-05-13 Advanced Micro Devices, Inc. Preparation of composite high-K dielectrics
US7115469B1 (en) 2001-12-17 2006-10-03 Spansion, Llc Integrated ONO processing for semiconductor devices using in-situ steam generation (ISSG) process
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6589843B1 (en) 2002-01-09 2003-07-08 Micron Technology, Inc. Methods of forming FLASH field effect transistor gates and non-FLASH field effect transistor gates
US6706576B1 (en) * 2002-03-14 2004-03-16 Advanced Micro Devices, Inc. Laser thermal annealing of silicon nitride for increased density and etch selectivity
US7001814B1 (en) * 2003-05-16 2006-02-21 Advanced Micro Devices, Inc. Laser thermal annealing methods for flash memory devices
US8581308B2 (en) * 2004-02-19 2013-11-12 Rochester Institute Of Technology High temperature embedded charge devices and methods thereof
US20060084268A1 (en) * 2004-10-15 2006-04-20 Martin Verhoeven Method for production of charge-trapping memory cells
US9406574B1 (en) 2007-08-09 2016-08-02 Cypress Semiconductor Corporation Oxide formation in a plasma process
US8119538B1 (en) 2007-08-09 2012-02-21 Cypress Semiconductor Corporation Oxide formation in a plasma process
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011090626A2 (en) * 2009-12-30 2011-07-28 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
WO2011084812A2 (en) 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10020314B1 (en) 2017-03-02 2018-07-10 Sandisk Technologies Llc Forming memory cell film in stack opening
US10847651B2 (en) 2018-07-18 2020-11-24 Micron Technology, Inc. Semiconductor devices including electrically conductive contacts and related systems and methods
CN114639602A (zh) * 2020-12-15 2022-06-17 东京毅力科创株式会社 蚀刻方法和蚀刻装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4748131A (en) * 1987-02-06 1988-05-31 The Aerospace Corporation Method for increasing radiation hardness of MOS gate oxides
US4833094A (en) * 1986-10-17 1989-05-23 International Business Machines Corporation Method of making a dynamic ram cell having shared trench storage capacitor with sidewall-defined bridge contacts and gate electrodes
US5043224A (en) * 1988-05-12 1991-08-27 Lehigh University Chemically enhanced thermal oxidation and nitridation of silicon and products thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4801988A (en) * 1986-10-31 1989-01-31 International Business Machines Corporation Semiconductor trench capacitor cell with merged isolation and node trench construction
EP0333084B1 (en) * 1988-03-16 1994-07-27 MITSUI TOATSU CHEMICALS, Inc. Method for preparing gaseous fluorides
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5279705A (en) * 1990-11-28 1994-01-18 Dainippon Screen Mfg. Co., Ltd. Gaseous process for selectively removing silicon nitride film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4833094A (en) * 1986-10-17 1989-05-23 International Business Machines Corporation Method of making a dynamic ram cell having shared trench storage capacitor with sidewall-defined bridge contacts and gate electrodes
US4748131A (en) * 1987-02-06 1988-05-31 The Aerospace Corporation Method for increasing radiation hardness of MOS gate oxides
US5043224A (en) * 1988-05-12 1991-08-27 Lehigh University Chemically enhanced thermal oxidation and nitridation of silicon and products thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7095093B2 (en) 2001-06-29 2006-08-22 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing a semiconductor device
JP2007165733A (ja) * 2005-12-16 2007-06-28 Elpida Memory Inc 半導体装置及びその製造方法

Also Published As

Publication number Publication date
DE69425527T2 (de) 2001-04-26
DE69425527D1 (de) 2000-09-21
JP2607834B2 (ja) 1997-05-07
KR0122513B1 (ko) 1997-11-26
EP0624899A2 (en) 1994-11-17
US5434109A (en) 1995-07-18
EP0624899A3 (en) 1996-04-10
EP0624899B1 (en) 2000-08-16

Similar Documents

Publication Publication Date Title
JP2607834B2 (ja) 半導体デバイスの製造方法
US5151381A (en) Method for local oxidation of silicon employing two oxidation steps
KR100275908B1 (ko) 집적 회로에 트렌치 아이솔레이션을 형성하는방법
CN100334708C (zh) 半导体器件的制造方法
JP2804037B2 (ja) ドライエッチング方法
KR100415523B1 (ko) 반도체 장치 형성 방법
KR100621888B1 (ko) 소자 분리막 형성 방법 및 이를 이용 핀형 전계 효과트랜지스터의 제조방법
US6008106A (en) Micro-trench oxidation by using rough oxide mask for field isolation
EP1361606A1 (en) Method of producing electronic device material
US6225171B1 (en) Shallow trench isolation process for reduced for junction leakage
US6723611B2 (en) Vertical hard mask
JP3039978B2 (ja) 集積misfetデバイス中に電界分離構造及びゲート構造を形成する方法
JP4145359B2 (ja) 半導体装置の製造方法
US6211021B1 (en) Method for forming a borderless contact
EP0601723A2 (en) Integrated circuit fabrication
US6893980B1 (en) Semiconductor device and manufacturing method therefor
US5747357A (en) Modified poly-buffered isolation
US20020197821A1 (en) Method of forming shallow trench isolation
KR100336567B1 (ko) 반도체장치의소자분리방법
US20020177327A1 (en) Method for forming a gate dielectric layer by a single wafer process
US6887767B2 (en) Method for manufacturing semiconductor device
JPH06151416A (ja) 半導体装置及びその製造方法
KR100235962B1 (ko) 초저접합을 갖는 반도체소자 제조방법
CN116759308A (zh) 闸极氧化层的制备方法、闸极氧化层及沟槽式场效应管
JP2003100868A (ja) 半導体装置およびその製造方法