JPH06295876A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH06295876A
JPH06295876A JP5219207A JP21920793A JPH06295876A JP H06295876 A JPH06295876 A JP H06295876A JP 5219207 A JP5219207 A JP 5219207A JP 21920793 A JP21920793 A JP 21920793A JP H06295876 A JPH06295876 A JP H06295876A
Authority
JP
Japan
Prior art keywords
core
layer
opening
etch
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP5219207A
Other languages
English (en)
Inventor
John E Cronin
エドワード クローニン ジョン
Carter W Kaanta
ウエリング カーンタ カーター
Donald M Kenney
マックアルパイン ケニー ドナルド
Michael L Kerbaugh
リン カーボー マイケル
Howard S Landis
スミス ランヂス ハワード
Brian J Machesney
ジョン マッケスニー ブライアン
Paul Parries
パリース ポール
Rosemary A Previti-Kelly
アン プレビテイーケリー ローズマリー
John F Rembetski
フランシス レムベツキ ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH06295876A publication Critical patent/JPH06295876A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/943Movable

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 除去可能な芯部(110)を使って、無境界接点
(130,170,172)を形成する半導体製造の方法。 【構成】 プロセスは基板(24)に形成されたゲートスタ
ック(40)を保護するために設計された障壁層(100)の上
に芯部を付着する方法である。芯部は、障壁層よりも速
い速度でエッチングする物質よりなり、エッチングが障
壁層で止まるようにして、芯部に開口部が形成される。
芯部に形成した第1の開口部(120)に接点(130)を付着さ
せた後、第2の開口部(140)が形成され、第2のコンタ
クト(170)がそこに付着される。その後、芯部が除去さ
れ、固形の誘電物質(180)の層でとって替わられる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体デバイスに於い
て無境界接点を作る技術、特に除去可能な芯部を使うこ
とによる無境界接点を形成する方法に関するものであ
る。
【0002】
【従来の技術】過去の技術では、集積回路の製造におい
て、多結晶質シリコンと金属構造及び拡散域に対する接
点は、接点が形成される領域のまわりに一定のふちどり
即ち境界を作ったうえに形成する設計をしてきた。接点
のまわりの境界が使われるのは主に、最悪の条件の時で
も、接点の開口部が、接点が作られる構造物乃至領域を
越えて広がらないようにするためである。境界が使われ
ないと、プロセスの通常の変化によって、接点がある部
分本来の構造物及び領域につくこともあるし、また、あ
る部分隣接する構造物や領域につくことも防げず、本来
意図しない接点が形成されてしまう。このようなことが
起こるとエツチングされ過ぎ、そのフイールド酸化物が
消費され、金属から基板へのリークの経路が作られてし
まうことがある。フイールド酸化物を消費することはシ
リコン基板をエッチングし過ぎることにつながる。
【0003】接点の周囲に境界をつけることは、接点の
位置ぎめを正確にし下部にある導電体の構造を保護する
が、一定面積にのせる集積回路の最大数を制約してしま
うという好ましくない影響がある。それに対し、名が示
すように無境界接点は接点の周囲に境界が不要である。
従って、無境界接点のプロセスにより、回路の集積度を
高め、ICチップの密度を増やすことができる。
【0004】
【発明が解決しようとする課題】クローニン他による米
国特許4,944,682及びバーバー他による米国特許4,966,8
70で開示されているように、無境界接点を形成する為の
各種の技術が開発されてきた。これらの特許で述べてい
る無境界接点のプロセスは、既にある形状(例えば、ポ
リシリコンの接続線又はゲイト・スタック)の上にのせ
るようにして基板の上に物質をコーテイングした物を付
着させ、さらに、比較的隙間のない(voidfree)物質か
らなる第2の層を第1の層の上に付着させる技術であ
る。無境界接点の開口部は第2層に形成されるが、これ
は第1層より第2層をより速くエッチングさせるエッチ
ング液を使い開口部が第2層を抜け第1層に達するとこ
ろでエッチング・プロセスは終了する。従って、第1層
は、第2層に開口部を作る為のエッチング・プロセスに
対してエッチ・ストップの役割を果たす。次に、第2の
エッチ液が使われ、開口部は第1層を抜け、下部の構造
物の接点を形成するべき部所に達する。
【0005】クローニン他及びバーバー他により開示さ
れているタイプの無境界接点のプロセスが効果的に機能
する為には、第2層に開口部を形成するエッチング・プ
ロセスがそのような層に対して選択性の高いものでなけ
ればならない。即ち、第2層に開口部を作るエッチング
・プロセスは、第1層をエッチングする速さよりはるか
に速い速度で第2層をエッチングしなければならず、エ
ッチ速度比(ERR)は少なくとも40:1が望まし
い。しかし不幸にして、クローニン他及びバーバー他に
よるプロセスをつかって形成された物質からなる第1及
び第2層をエッチングするのに使われうる既知のエッチ
液のエッチ選択性は、ある状況下では、本来望みたいレ
ベル程大きくない。従って、第2層を少しでもエッチン
グしすぎることは、ある処理手順のもとでは、第1層に
開口部を作る結果になるし、第1層の下にあるエッチン
グすべきでない物質をもエッチングしかねない。従っ
て、既知の無境界接点のプロセスで典型的に使われるも
のよりも高いエッチ選択性を提供出来るような種類の物
質からなる層及びエッチング・プロセスを包含する無境
界接点のプロセスが必要になる。
【0006】各種の半導体デバイスの形成に関しては、
IBMテクニカル・デイスクロージャ・ブリテン14巻
1号(1971年6月)で開示され、ベンズ他に帰属する米
国特許3,930,857とアバス他に帰属する米国特許4,359,8
16で記述されているように、基板に第1層を付着させる
物質をのせ、この第1層に開口部を形成し、開口部に導
体物質を付着させ、最後に第1層を除去する方法が知ら
れている。しかし、いずれ除去される層に導体構造を形
成する技術は、無境界接点を形成する為の技術としては
ふさわしくない。しかもこれらのプロセスは、層に物質
で接点を形成し、その後その物質を除去し別の物質に替
える逐次的な処理の方法をとっていない。
【0007】クマガイ他に帰属する米国特許5,041,877
で開示されているように、溝型コンデンサのノード電極
と隣接する拡散域とを、拡散域からノード電極まで広が
る帯状の物質即ちストラップでつなげる方法が知られて
いる。さらに、IBMテクニカル・デイスクロージャ・
ブリテン34巻7号B(1991年12月)及び欧州特許出願20
1,706番(日本電気株式会社)で開示されているよう
に、上記のような帯状の物質が、拡散域の上部と、コン
デンサが形成されている溝に交差する拡散域の片面との
両方に接点を形成する為の技術も開発されている。
【0008】
【課題を解決するための手段】本発明は、除去可能な芯
部を使って無境界接点を形成するプロセスである。この
工程の一つの具体化は、基板の上に芯部を形成すること
である。次に、芯部の一部を除去して第1の開口部を形
成する。その後、この第1開口部に導体物質が付着され
る。そして、芯部の別の部分が除去され、導体物質が付
着される第2の開口部が形成される。最後に、芯部が除
去され、固形の誘電物質にとって替わられる。
【0009】上述のプロセスの具体的な応用として、芯
部を付着させた後、溝型コンデンサと隣接する拡散域と
が交差する開口部が形成される。次に、(1)溝の中の半
導体物質と、(2)拡散域の上部表面に直面する拡散域の
部分と、(3)溝の側壁の部分とに接する帯状になるよう
に、不純物を加えた半導体のような物質が開口部に付着
される。それから、基板はしばらくの間、拡散注入域
(diffusion implant region) の不純物が基板内の隣接
する域に拡散するに十分な温度で熱せられ、拡散した領
域が溝の中の導体物質の層の部分と接触し、拡散域と水
平及び垂直両方向に接するようになる。最後に芯部が除
去され、固形の誘電物質にとって替わられる。
【0010】除去可能な芯部を使うことにより、一つの
層に開口部をエッチングする時、制御と反復をしながら
その下の層に到着したらエッチングを停止させうるよう
なエッチ選択性を提供しうる物質とエッチング・プロセ
スを選ぶことが可能になる。本発明は、このエッチ選択
性の結果、実用的で、制御可能で、製造原理上も使用可
能な無境界接点を形成する方法を提供するものである。
【0011】
【作用】無境界接点の形成と関連して除去可能な芯部を
使うことの重要な利点は、適切なエッチング・プロセス
を行う時、コンタクトを形成する場所の物質を、その下
にある障壁層より速い速度でエッチング出来る物質を選
択することが可能になることである。除去可能な芯部の
為の物質を選択するにあたっては、選択する物質の絶縁
性や誘電性特質について実質的に顧慮しなくてもすむこ
とになろう。それに対し、付着された後で除去されない
既知の誘電物質の層は比較的良好な絶縁特質とそれにふ
さわしい誘電特質を持っていなくてはならない。そのよ
うな既知の典型的な誘電物質では下部の障壁層よりも充
分速くエッチングし、かつ、そのような層で繰り返しエ
ッチング・プロセスを止めるようには出来ない。それに
対し、真性polyーSi からなる除去可能な芯部は、上述の
タイプのエッチング・プロセスを使用する時、障壁層1
00に典型的に使われる物質(例えば窒化物及び酸化
物)より有意により速くエッチング出来る。真性polyーS
iのそのような高いエッチレートの結果、無境界接点を
形成する既知の他の技術とは違い、本発明によるプロセ
スは高度に制御可能で、反復可能で、製造可能な仕方で
無境界接点を形成するのに使用出来る。
【0012】本発明による除去可能な芯部のプロセス
は、誘電物質の中又はそれを通して広がる接点のショー
トを起こす原因の一つを除くことにもなる。そのような
ショートが起こるのは、通常の半導体の製造のプロセス
を使っては、隙間のない(voidfree)誘電物質の層を付着
させるのは本来比較的難しいからである。従って、誘電
層に接点の開口部を形成する時に隙間が露出する。接点
開口部に対しての場所にもよるが、接点の形成中にこれ
らの隙間は金属または半導体物質で満たされることがあ
り、この誘電物質が或る状況下では、隣接する導体構造
物との接点をショートさせることになる。一方、真性po
lyーSiは通常の半導体プロセスを使って、高度に隙間の
ない方法で付着させることが出来るので、以降に続く接
点の付着プロセスは、隣接する構造物とショートを起こ
すような形に物質がちらばって形成されることがない。
たとえ、真性polyーSi 芯部を置き換えるのに使われた誘
電物質が隙間を持っていたとしても、全体の接点構造が
既に形成されているので、そのような隙間が接点のショ
ートを引き起こすことはない。
【0013】
【実施例】本発明は、製造環境において適切なエッチン
グ・プロセスが使用される時、反復可能かつ高度に制御
可能なプロセスを可能にするように、エッチングの速度
が十分異なる物質を使って無境界接点を形成する方法で
ある。この方法は隣接する構造物即ち拡散域と溝型コン
デンサをつなぐ接点を形成するのに使用でき、また、下
部の導体構造と上部の導体構造とをつなぐ単一の接点を
形成するのにも使うことができる。
【0014】図1から8に無境界接点を形成する一つの
望ましい具体化として本発明によるプロセスを示す。本
発明に関する以下の記述は図1に示した構造物を作るに
必要な半導体製造のプロセスが終了してから始まる。本
発明を説明するにあたり既知の注入プロセスを使って不
純物が拡散域20及び22に注入されているが、まだそ
こから外に拡散されていない状況を前提にしている。
【0015】また、前のプロセスによって、コンデンサ
が形成されている溝28が拡散域22に交差するか、拡
散域に隣接するように、基板24に溝型コンデンサ26
が作られている。コンデンサ26は溝28を満たすのに
使われ、ノード電極として機能する、例えば真性多結晶
質シリコン(polyーSi) のような誘電物質30を持って
いる。コンデンサ26は酸化シリコンから作られうる酸
化物カラー(collar)32と溝キャップ34を持ってい
る。
【0016】ゲートを積み重ねた構造物(gate stack s
tructure) 40は基板24の表面に形成されている。ゲ
ートの積み重ね(以下ゲート・スタック) は、図示して
いないが、薄いゲート誘電層(gate dielectric layer)
を持ち、この層の上には例えばpolyーSiのような導電性
物質の層42が付着されている。層42は例えばSi3N4
からなる誘電性のキャップ44でおおわれている。ゲー
ト・スタック40の側面には窒化物の側壁スペーサ50
が付いている。
【0017】上記の構造物は本発明ではない既知のプロ
セスで作られたものであり、本発明による説明を始める
にあったての出発点として述べたものである。しかし、
以下に述べる本発明の説明から明かなように、本発明を
使用する前に広範囲の半導体製造のステップをとること
も可能である。従って、本発明は、既に存在しているか
なり多様の構造物とあわせて使用することが可能であ
る。
【0018】広い意味で、本発明は、既に存在する形状
(topography) の上、そしてその間にある開口部に障壁
層(barrier layer) を付着させ、その障壁層の上に芯
部層を付着させるものである。次に、芯部と障壁層に一
番目の開口部が形成され、この開口部に導体物質が付着
される。次に、芯部と障壁層に二番目の開口部が形成さ
れ、この開口部に導体物質が付着される。最後に芯部が
除去され固形の誘電物質に替えられる。除去可能な芯部
の使用と、(1)障壁層と芯部を作る物質の選択と、(2)芯
部に開口部を形成するのに使われるエッチング・プロセ
スとがあいまって、本発明の重要な側面になっている。
【0019】図2は、本発明の一つの具体例を示したも
ので、図1に示した形状の上に物質100による層が融
和して付着している。層100の組成は、以下に述べる
ように、芯部に開口部を形成する際に使われるエッチン
グ・プロセスで、芯部110に使用した物質より有意に
遅い速度で除去されるような物質を選んである。この点
で、層100は、芯部110に開口部を形成する間、そ
の下の構造物がうっかりエッチングされないように防ぐ
為の障壁層の機能を果たす。障壁層100は、低圧CV
D(LPCVD:low pressure chemical vapor deposit
ion) によって蒸着された厚さ30nmの窒化物の層で出
来ているのが望ましい。別の方法としては、層100は
窒化物の層の上に、例えば15nmの、より薄い窒化物の
層と、例えば25nmの、より厚い酸化物の層からなるも
のでも可能である。酸化物の層は、既知のLPCVD
TEOSプロセスによって形成することができる。図2
に示していないが、層100はゲート・スタック40の
窒化物キャップ44の上まで広がってもよい。しかし以
下に説明するように、或る場合には、芯部110のプレ
ーナ化の結果、キャップ44の上に位置された層100
の部分は除去される。
【0020】次に、芯部110は、例えば、通常のLP
CVDプロセスを使って、障壁層100の上に比較的厚
めの物質層を付着させることにより形成される。芯部1
10を形成するのに使われる物質の層の厚さは、ゲート
・スタック構造と他の形状との間の水平空間の関数によ
って変わるが、ここでの目的は、或る形状とその形状の
上部表面までの領域全体を満たすことである。典型的に
は、芯部110の厚さは少なくともゲート・スタック
(又は他の構造物)の高さと等しい。さらにつけ加える
と、ゲート・スタックが比較的密度が高い場合には、芯
部110の厚さは典型的には隣接しあうゲート・スタッ
ク間の水平距離の少なくとも約半分である。本発明の一
つの具体例として、隣接しあうゲート・スタック間の最
大距離が1.3ミクロンの場合、800nmの厚さの物質
の層をのせて芯部110を形成する。比較的密度の高い
ゲート・スタックがない場合、例えば、支援回路を形成
する場合、垂直方向の形状の間の領域を芯部用物質で確
実に満たす為に、(1)隣接しあうゲート・スタック間に
比較的大きな空間がある場合にはダミーの構造物を使う
か、又は、(2)芯部110を1ミクロンの厚さぐらいに
厚く作るかが必要である。
【0021】以下に説明するように、エッチング・プロ
セスを適切なものにする為に、芯部110を作る為に使
われる物資は、エッチ速度比(ERR)は障壁層100
に対し60:1又はそれ以上であることが望ましい。こ
の点で、異方性ドライエッチのような既知のエッチング
方法では、以下に述べるように、(1)真性ポリシリコン
(polyーSi) と、(2)窒化シリコン又は二酸化シリコンと
の間に60:1から100:1にいたるエッチ速度比を
持つので、真性ポリシリコン(polyーSi) は芯部110
には望ましい物質である。しかし、エッチング・プロセ
スの際に、障壁層100に使用した物質よりも速く他の
物質がエッチングできることが最大の必要条件であるの
で、適切なポリイミドのような他の物質も芯部110に
使うことができる。次に、コート他に帰属する米国特許
4,910,155(1990年3月20日発行)及びベイヤー他に帰属
する米国特許4,944,836(1990年7月31日発行)で開示さ
れているタイプの化学ー機械研磨(chemicalーmechanica
l polishing:CMP)のような適切な工程を使って、芯
部110はゲート・スタック40の上の窒化物の障壁層
100とプレーナ化される。
【0022】芯部110をプレーナ化した後、延長芯部
112が芯部110の上に付着される。延長芯部112
は芯部110と同じ物質(例えば真性 polyーSi) からな
るのが望ましい。以下に述べるように延長芯部112は
後のエッチ・プロセスの際に、エッチ・ストップとして
機能する。以下に述べるように延長芯部112の厚さは
延長芯部がエッチ・ストップとして機能する際のエッチ
ング・プロセスによって、また、他の後続のプロセスの
関数として或る程度異なるが、約90ー100nmの厚さ
が望ましい。延長芯部112は典型的には通常の低圧C
VDによって蒸着される。
【0023】次に、エッチ・マスク層114が延長芯部
112の上に付着される。以下に述べるように、芯部1
10と延長芯部112に開口部を形成するとき使われる
エッチング・プロセスに抵抗出来るように、層114に
使われる物質の厚さと組成を選ぶ。層114に適する物
質には二酸化シリコンと窒化シリコンがある。具体化と
して望ましいエッチ・マスク層は延長芯部112に、厚
さ350+/ー35nmで付着したTEOS酸化層であ
る。
【0024】上述したように、本発明での望ましいプロ
セスの順序は、真性 polyーSi からなる芯部を付着し、
芯部をゲート・スタックの上部にプレーナ化して戻し、
芯部の上に真性 polyーSi からなる延長芯部を付着し、
延長芯部の上に酸化物からなるエッチ・マスク層を付着
することである。しかし、或る状況下では、望むらくは
窒化シリコン又は酸化シリコンからなるエッチ・マスク
層を直接プレーナ化された芯部の上に付着するのが望ま
しい場合がある。次に、芯部に開口部が形成されるが、
これは、芯部で止まるようにして、先ず窒化又は酸化シ
リコンのマスク層に開口部を作ることにより形成され
る。このようにして芯部に開口部が形成される。
【0025】図3に示すように、一番目の開口部120
が芯部110と延長芯部112に形成される。その後、
以下に説明するように、(1)芯部110の内部又は下部
にある構造物と、芯部の上に位置する構造物とをつなげ
る為、及び、(2)芯部110の内部又は下部の構造物を
相互に連結する為に、接点が開口部120に付着され
る。一番目の開口部120が、各種の構造物への接点を
形成するのに使うことも可能であるが、以下に説明する
ように、開口部120は、溝形コンデンサ26と隣接す
る拡散域22とをつなぐストラップが形成される領域を
定義するために使われるものである。
【0026】一番目の開口部120を形成する為の準備
として、芯部110と延長芯部112が形成される部分
の上にのる形でエッチ・マスク層114に開口部122
が形成される。開口部122は、エッチ・マスク層11
4にフオトレジスト層123を付着し、その後、開口部
122が形成される部分の層114の上にのるフオトレ
ジスト層に開口部124を形成することによって作られ
る。次に、フオトレジスト層123の開口部124を貫
いて開口部122が、層114と延長芯部112の間に
適切な選択性を持つエッチング・プロセス(即ち、延長
芯部112がエッチ・ストップとして機能するようなエ
ッチング・プロセス)を用いて、形成される。一つの具
体化として、エッチ・マスク層114がTEOS酸化物
からなり、延長芯部112が真性polyーSi からなる場
合、開口部122は、CHF3/CF4/Ar環境下で、エッチン
グが延長芯部112で止まるようにして、シングル・ウ
エーハー・エッチ(SWE)ツールを使い、反応性イオ
ン・エッチプロセス(RIE) によって形成される。そ
の後、フオトレジスト層123は、既知のウエット・ス
トリップ・プロセスを使ってエッチ・マスク層114か
らとりはずされる。
【0027】その後、先述したように、層114をマス
クとして利用し、障壁層100より有意に速い速度で芯
部と延長芯部を除去するエッチング・プロセスを使っ
て、開口部120が延長芯部112と芯部110に形成
される。この工程の初めから終わりまで、真性polyーSi
からなる芯部と延長芯部に開口部が形成される時には、
下記に述べる三つの環境のカテゴリーの一つを使って行
われるRIEエッチプロセスを使うのが望ましい。理由
は、このプロセスにより、(1)真性polyーSi と、(2)窒化
物又は酸化物との間に高い選択性があるからである。環
境の第一のカテゴリーとはフッ素に基づいた環境(等法
性エッチが使用出来る時)、第二は、塩素に基づいた環
境(異方性エッチが望ましい時)、第三は、ハロゲンに
基づいた環境に塩素とフッ素を加えた環境(真性polyーS
iと窒化物又は酸化物の間の選択性よりも高い選択性を
必要とする時)である。しばしば、最適な異方性(optim
um anisotropicity) とそれに合うエッチ選択性を得る
為に、ハロゲン(例えばHBr)が混合される。
【0028】開口部120は二つのステップでRIEエ
ッチングすることが望ましい。第1のステップとして、
比較的高いエッチ・レートであるが、(1)芯部110と
延長芯部112と、(2)芯部110と延長芯部112の
接点に存在するそこに固有の酸化物(native oxide)(図
示せず)との間のような低い選択性をもってRIEエッ
チングを使って、芯部110と延長芯部112が除去さ
れる。例えば、Cl2/HBr 環境下で、SWEツールを使
ってRIEエッチングをする方法がこの第1のステップ
での開口部120を形成するのに使用出来る。この第1
ステップのエッチプロセスは、開口部120の下にある
障壁層100の125の部分を露出させる為に芯部11
0が十分に除去される前に終了される。その後、第2ス
テップとして、開口部120の芯部110の残りの部分
は、(1)芯部110と延長芯部112、及び(2)障壁層1
00との間に高いエッチ選択性を持つエッチ・プロセス
を使って除去される。障壁層100が下部に窒化シリコ
ン層と上部に酸化シリコン層からなり、芯部110が真
性polyーSiからなるものと前提した場合、高いエッチ選
択性を持つふさわしいエッチ・プロセスは、例えば、Cl
2/HBr/He2/O2 環境下でSWEツールを使うRIEエッ
チで、芯部の残余部分をエッチングするRIEである。
エッチの選択性は必要に応じO2の相対量を変えることで
調整することができる。
【0029】もう一つの方法として、或る状況下では、
ワンステップ・エッチプロセスを使って芯部110と延
長芯部112に開口部120を形成することが望ましい
場合がある。この場合は必然的に、(1)芯部110と延
長芯部112、及び(2)障壁層100との間に高い選択
性を持つエッチプロセスを使うことが必要となろう。上
述した芯部110の残余部分を除去する為のエッチプロ
セスは、例えば、ワンステップ・プロセスにおいて使用
できる。
【0030】次に、(1)溝の継ぎ輪部(trench collar)
32と溝のキャップ(trench cap) 34の部分、及び(2)
拡散域22とを露出させる為に、開口部120の低部に
ある障壁層100の125の部分が除去される。部所1
25を除去するには、通常のCHF3/CF4/Ar RIEプロセ
スを使うことができる。
【0031】障壁層内の125の部分を除去した後、開
口部120に形成される相互接続ストラップ130(以
下に述べる)の中の不純物が芯部110の隣接する部分
に拡散して出て行くのを防ぐ為に、開口部120に薄い
窒化物のライナ(liner)(図示せず)が付着される。こ
の点で、芯部110は、図で開口部120の左右に存在
するように、開口部120の後部と前部にも存在してい
る。このように不純物が拡散して出ることは、以下に述
べるように、芯部110を除去する為に使われたエッチ
ング・プロセスでは、不純物が既に拡散して来て入って
しまっている開口部の部分を除去することが出来ないか
もしれないので望ましくない。上記の窒化物のライナ
は、10ー30nmの厚さでLPCVDプロセで付着され
るのが望ましい。
【0032】拡散域22と酸化物カラー32とキャップ
34の上の開口部120の水平方向表面上の部分の窒化
物ライナが、窒化物をシリコンより有意により速くエッ
チングするエッチング・プロセスを使って除去される。
この窒化物ライナの水平部分は、例えば、CHF3/O2
環境でRIEエッチによって除去することが出来る。以
下に述べるように、窒化物ライナの水平部分は、拡散域
22及び、拡散域とコンデンサ26をつなげているスト
ラップ130との間に電気的接点を作るために除去され
る。窒化物ライナの水平表面を除去することで、後で行
なう酸化物カラー32とキャップ34の部分を除去する
ことが容易になる。
【0033】その後、図4で示すように、既知のRIE
エッチング・プロセスを使って、コンデンサ26の誘電
物質30を露出するような部分の溝のカラー32と溝キ
ャップ34が除去される。以下に述べるように、カラー
32とキャップ34の除去の際、エッチ・マスク層11
4もいくらか除去されることになるので、層114は、
芯部110と延長芯部112に追加開口部を形成する時
再びマスク層として使えるのに十分な厚さで付着するこ
とが重要である。
【0034】プロセスの次ぎのステップとして、拡散域
22とコンデンサ誘電体30をつなげるようにストラッ
プ130が形成される。P+ストラップを望むならば、
エッチ・マスク層114の上の開口部120と122に
P+polyーSiの層が付着される(層114の上のP+pol
yーSi の部分は図示していない)。もし必要なら、スト
ラップ130を形成するのに使われたP+polyーSi層
を、この層が延長芯部として機能するに十分な厚さで付
着させることも可能であり、これにより、真性polyーSi
の延長芯部112とエッチ・マスク層114は不要にな
る。このような場合、芯部が前もってゲート・スタック
の上部表面にプレーナ化されていると前提して、比較的
薄い(30nm) 窒化物の障壁層(図示していない)を
芯部110とゲート・スタック40の上に付着させるこ
とも出来る。その次に、ストラップ130の付着に関連
して、P+polyーSiの「延長」層を、例えば200nmの
必要な厚さで窒化物層の上に付着させる。その後、第2
の開口部140をP+polyーSi層を通し、芯部110の
中に形成することが出来る。このことは、開口部140
の形成についての説明と関連して以下に詳しく述べる。
【0035】プロセスのこの段階においては、芯部11
0の上に延長層を形成する技術のいかんにかかわらず、
P+ストラップ130は主に拡散域22の水平表面と接
点がある。即ち、基板24の上部表面と交差する拡散域
22の部分は、コンデンサ26が形成されている開口部
28と交差する拡散域22の部分と偶然に接しているだ
けである。しかし、次に、拡散域20と22の不純物が
拡散して出され、外方拡散領域20Aと22Aが形成さ
れる(図4)。例えば、拡散域20と22が注入された
ほう素を使って形成されるとするなら、このような外方
拡散は高速の熱アニール・プロセス(thermal anneal p
rocess) 例えば、2ー3分間の摂氏900度でのRTA
を使って行うことが出来る。この外方拡散の結果、P+
ストラップ130は、外方拡散領域22Aが溝28の側
壁と交差する部分と接することになる。
【0036】このようにして、外方拡散の後、P+スト
ラップ130は、拡散域の水平及び垂直両方向に交差す
るために、拡散域20と22と非常に強いストラップを
形成することになる。水平及び垂直両方向の接点が好都
合なのは、溝のコンデンサ26を隣接するゲート・スタ
ックに対して位置決めする場合に(図1ー8に示したよ
うにゲート・スタック40はコンデンサ26のすぐ左に
位置している)、ストラップ130と拡散域22との間
の電気的接続を維持しながら、比較的大きな水平方向の
位置ずれが許容出来る(図で示すように)からである。
従って、コンデンサ26のすぐ左のゲート・スタック4
0の位置が拡散域22の大部分をおおうような形でずれ
た(図から見て右に)としても、溝28と交差する拡散
域の部分とストラップが垂直方向に接していることによ
り、ストラップ130と拡散域との間には確実な電気的
接点が保たれている。
【0037】図5で説明するように、本発明のプロセス
上の大きな次ぎのステップとして、芯部110に第2の
開口部140が形成される。第1の開口部120と同様
に、第2開口部140は、芯部110の下部に位置する
二つの構造物を互いにむすぶため、又は、芯部110の
下部に位置する構造物と芯部110の上部に位置する構
造物とをむすぶ為の接点の受け口として使うことが出来
る。しかし、以下に述べるように、第2開口部140の
ふさわしい使いかたは、拡散域と交差する接続間柱(co
ntact stud) の受け口になることである。
【0038】開口部140を形成する前に、開口部14
0が形成される上のエッチ・マスク層114に、先ずフ
オトレジスト層144を層114に付着させて、開口部
142が作られる。次ぎに、開口部142が形成される
層114の上にのるフオトレジスト層144に開口部1
46が形成される。次ぎに、開口部142が開口部14
6を通しフオトレジスト層144の中に、層114と延
長芯部112の間に適切な選択性を持つエッチング・プ
ロセスによって形成される。層114が酸化物で、延長
芯部112が真性polyーSiとすると、開口部142は例
えばCHF3/CF4/Ar環境下でRIEプロセスを使っ
て、延長芯部112で止めるようにして、形成すること
が出来る。その後、既知のウエット・ストリップ・プロ
セスを使って、層114からフオトレジスト層144が
はがされる。
【0039】次ぎに、開口部140が、開口部142を
通し、層114をマスクとして使って、延長芯部112
と芯部110に形成される。このような開口部は、上述
のように、開口部120を形成するのに使ったのと同様
のエッチングプロセスを使って形成される。エッチング
・プロセスは障壁層100(開口部140の底部にあ
る)の図4の部所148に達するまで続く。部所148
は、エッチング・プロセスの高い選択性によってエッチ
ストップとして機能する。その後、上述したように、例
えば、障壁層100の部所124を開口部120の底部
から除去したのと同じタイプのRIEプロセスを用い
て、部所148が除去される。
【0040】上述したが、P+polyーSi「延長」層とそ
の下にある障壁層が延長芯部112とエッチ・マスク層
114の代わりに使われる時は、開口部140を形成す
るのにはわずかだが異なったプロセスがとられる。先ず
第一ステップとして、既知のフオトレジスト/マスク・
プロセスと、例えばCl2/HBr環境下のRIEエッチ
ング・プロセスのようにP+polyーSi を窒化物よりも有
意により速くエッチするエッチング・プロセスにを使っ
て、開口部(層114の開口部142と同様の)が、P
+polyーSi「延長」層に形成される。次ぎに、例えば、
CHF3/O2環境下のRIEプロセスのように窒化物を
真性polyーSiよりも速くエッチするエッチング・プロセ
スを使って、芯部110を露出するように、開口部が、
薄い障壁層に形成される。上述のプロセスの後、開口部
140が芯部110に形成される。
【0041】必要であれば、この段階で、拡散域20と
芯部110の上にある構造物をつなげる為に、開口部1
40を接点で満たすことも出来る。しかし、本発明は後
述するように、芯部全体にまで伸びない無境界接点を形
成するために使われるのと、また、典型的なプロセスの
順序では開口部140に接点を付着させるのと同時にこ
の種の無境界接点も付着されるので、後述する次のステ
ップの間は開口部140は開けたままにして置く。
【0042】芯部全体に伸びず、本発明に従って形成さ
れる接点の一つの例は例えば図6で示すようにゲート・
スタック40aのようなゲートへの接点である。そのよ
うな接点は、第3の開口部160(図6)を芯部110
と延長芯部112に作り、この開口部に接点を付着させ
ることによって形成することが出来る。開口部160は
第1開口部120と第2開口部140を形成したのと同
様のプロセスを使って形成出来る。開口部160を形成
するのに使えるステップを要約すると、フオトレジスト
層164に開口部162が形成され、層164はマスク
として層114に開口部166を形成するのに使われ
る。フオトレジスト層164をはずした後で、層114
は開口部160を形成する際のマスクとして使われる。
開口部160は、延長芯部112の真性polyーSiと窒化
物キャップ44とゲート・スタック40aの側壁50と
の間に十分な選択性があり、エッチング・プロセスがキ
ャップと側壁で止まるようなエッチング・プロセスを使
って形成される。適切なエッチング・プロセスには、例
えば、Cl2/HBr環境下で延長芯部112をエッチン
グするRIEがある。その後、窒化物キャップ44と窒
化物側壁50との部分が、ゲート・スタック40aの導
体層42で止まるエッチング・プロセスを使って除去さ
れる。ゲート・スタック40aのキャップと側壁のこれ
らの部分を除去するのに適切なエッチング・プロセス
は、芯部110と延長芯部112が真性polyーSiからな
るなら、CHF3/O2環境下でのRIEエッチングであ
る。
【0043】図7では、拡散接点(diffusion contact)
170とゲート接点172が開口部140と160にそ
れぞれ形成されている。このような接点は、例えば、は
じめにTiNの下敷き(underlayer)174を付着し、そ
れから開口部の残りをタングステンの詰めもの176で
満たすことによって形成出来る。既知のCVD又は他の
プロセスがTiNとタングステン物質を付着させるのに
使うことが出来る。典型的には、そのようなタングステ
ンの付着は二つのステップのプロセスで出来る。第1の
ステップは、SiH4/WF6ガスを使い、第2のステッ
プはWF6/H2ガスを使う。この技術に詳しい人は理解
出来るように、接点170と172を形成するのに半導
体物質は勿論他の物質も使うことが出来る。
【0044】その後、接点170と172は酸化エッチ
マスク層114で止まるCMPプロセスを使ってプレー
ナ化されることが望ましい。
【0045】図8に示すように、その後、プラグ130
は、ゲート・スタック構造40の上部表面より低く凹状
になる。必要があれば、凹状のプラグ130はこの段階
で酸化物又は他の誘電物質でキャップをつけることも出
来るが、後述するように、後の工程までプラグにはキャ
ップをしない方が望ましい。
【0046】ここで、層114が、延長芯部112の真
性polyーSiで止まるようなエッチング・プロセスを使っ
て除去される。適切なエッチング・プロセスには、例え
ば、CHF3/CF4/Ar環境下で層114をエッチング
出来るRIEがある。
【0047】次に、芯部110と延長芯部112が、
(1)真性polyーSi、及び(2)障壁層100の物質と接点1
70と172の物質との間に高い選択性を持つエッチン
グ・プロセスを用いて除去される。ストラップ130が
P+polyーSi からなり、上述したように酸化層のキャッ
プがついていないなら、真性polyーSiの芯部110と延
長芯部112は、イソプロピル・アルコール(isopropyl
alcohol:IPA)に溶解した水酸化カリウム(potassiu
m hydroxide:KOH) よりなるウエット・エッチを使
って除去することが出来る。ウエット・エッチはH
2O、KOH、及びIPAの溶液であり、KOHはH2
に5ー20%望むらくは約10%のKOH(重量で)、
IPAはウエット・エッチ溶液に体積で0.5ー10%
望むらくは約2%あるのが望ましい。
【0048】残っているエッチ液を例えばゆすぎ水のよ
うな適切な湿式洗浄(wet clean)によって除去した後、
固形の誘電層180が、芯部110と延長芯部112を
除去した後及びストラップ130の上のくぼんだ空間全
てを満たすような適切な厚さで付着される。誘電層18
0に使われる物質は(1)ゲート・スタックと他の構造物
の間に存在する非常に小さい隙間を埋められる能力と、
(2)絶縁性と誘電性の特性とに基づいて選ぶべきであ
る。スピン・オン・ガラスを誘電層180として使うの
が望ましい。層180に使用出来る代わりの誘電物質に
はポリイミド、融和性の大きいCVD酸化物、リフロー
可能な酸化物、及びデップ・エッチ(depetches)があ
る。層180は、接点170と172の上部表面が層1
80の上部表面から少し上に出るような厚さで付着され
ることが望ましい。
【0049】層180を付着することで本発明のプロセ
スを完了する。しかしこれに続くプロセス・ステップと
して、例えば(1)CMPや他の既知の手段によって誘電
層180をプレーナ化すること、及び(2)層180の上
にもう一つの誘電層(図示せず)を付着させ、層180
の上に付着された誘電層の接点170と172とをつな
げた金属をさらに付着させることを含めても良い。
【0050】本発明に関する上記の説明では、芯部に逐
次的に三つの異なる開口部を形成する時の芯部110の
用途を述べた。しかし、プロセスの必要に応じて、芯部
にはより多くまたはより少ない数の開口部が形成されて
も良いことを理解されたい。
【0051】
【発明の効果】本発明は、以上に説明したように、エッ
チングの速度が十分異なる物質を使って、無境界接点を
形成する方法であり、適切なエッチ選択性により、実用
的で、制御可能で、製造原理上も使用可能な方法を提供
するものである。
【図面の簡単な説明】
【図1】本発明の第1ステップのプロセスを加える前
の、以前から存在する集積回路で本発明のプロセスと関
連して使われる構造の断面図を示す。
【図2】図1と同様だが、以前から存在する構造物の間
及び上部に付着された芯部、延長芯部及びエッチ・マス
ク層を示す。
【図3】図2と同様だが、芯部、延長芯部及びエッチ・
マスク層に形成された第1開口部を示す。
【図4】図3と同様だが、第1開口部に形成された相互
接続ストラップを示す。
【図5】図4と同様だが、芯部、延長芯部及びエッチ・
マスク層に形成された第2開口部を示す。
【図6】図5と同様だが、芯部、延長芯部及びエッチ・
マスク層に形成された第3開口部を示す。
【図7】図6と同様だが、第2及び第3開口部に形成さ
れた接点を示す。
【図8】図7と同様だが、芯部、延長芯部及びエッチ・
マスク層が除去され、固形の誘電物質で満たされた芯部
及び延長芯部を示す。
【符号の説明】
20、22 拡散域 20A,22A 外方拡散領域 24 基板 26 溝型コンデンサ 28 溝 30 誘電物質 32 酸化物カラー 34 溝キャップ 40 ゲートスタック 42 誘電性物質の層 44 誘電性のキャップ 50 窒化物の側壁スペーサ 100 障壁層 110 芯部 112 延長芯部 114 エッチ・マスク層 120、140、160 開口部 130 ストラップ(接点) 144、164 フオトレジスト層 170、172 接点 180 誘電層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 カーター ウエリング カーンタ アメリカ合衆国 05446 バーモント州 コルチェスター グランドビユウ ロード (番地なし) (72)発明者 ドナルド マックアルパイン ケニー アメリカ合衆国 05482 バーモント州 シェルブーン バーチ ロード 18 (72)発明者 マイケル リン カーボー アメリカ合衆国 04565 バーモント州 ジェリコ アップルトリー レイン 3 (72)発明者 ハワード スミス ランヂス アメリカ合衆国 05489 バーモント州 アンダーヒル マウンテン ビユウ ロー ド アールアール2 ボックス 1475 (72)発明者 ブライアン ジョン マッケスニー アメリカ合衆国 05401 バーモント州 バーリントン イース アレン パークウ エイ 429 (72)発明者 ポール パリース アメリカ合衆国 12590 ニューヨーク州 ワッピンジャーズ フオールズ タング ルウッド ドライブ 32 (72)発明者 ローズマリー アン プレビテイーケリー アメリカ合衆国 05477 バーモント州 リッチモンド アールディー 1 ボック ス 213ー7 (72)発明者 ジョン フランシス レムベツキ アメリカ合衆国 78764 テキサス州 オ ーステイン ドーソンロード 514 アパ ートメント114

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 基板に芯部を形成し、上記芯部の第1の
    部分を除去して第1の開口部を形成し、上記開口部に導
    体物質を付着させ、上記芯部の第2の部分を除去して、
    第2の開口部を形成し、上記芯部を除去し固形の誘電物
    質で置き換えることを含む半導体装置の製造方法。
  2. 【請求項2】 上記芯部が選択された物質よりなり、さ
    らに上記芯部を除去する方法が上記第1開口部に付着さ
    れた導体物質よりも速い速度で上記選択された物質を除
    去するエッチング・プロセスからなる請求項1に記載の
    方法。
  3. 【請求項3】 真性polyーSiと誘電物質の間に高い選択
    性を持つ異方性ドライ・エッチを用いて上記芯部に第1
    及び第2の開口部を形成する請求項1に記載の方法。
  4. 【請求項4】 上記芯部が真性polyーSiからなり、上記
    導体物質がP+polyーSiからなり、上記エッチング・プ
    ロセスがH2O、KOH及びIPAの溶液からなるウエ
    ット・エッチである請求項2に記載の方法。
  5. 【請求項5】 上記基板に上記芯部を形成する前に、上
    記芯部に上記第1及び第2の開口部が形成される部所の
    下に位置するように上記基板の上に障壁層物質を付着さ
    せ、上記障壁層物質は選択されたエッチング・プロセス
    により上記芯部とは異なる速さでエッチングされる請求
    項1に記載の方法。
  6. 【請求項6】 上記障壁層が窒化シリコンよりなり、上
    記芯部は真性多結晶質シリコンよりなり、上記エッチン
    グ・プロセスは窒化シリコンより真性多結晶質シリコン
    をより速く除去するRIEエッチングのプロセスである
    請求項5に記載の方法。
JP5219207A 1992-09-17 1993-08-12 半導体装置の製造方法 Pending JPH06295876A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/946,993 US5466636A (en) 1992-09-17 1992-09-17 Method of forming borderless contacts using a removable mandrel
US07/946,993 1992-09-17

Publications (1)

Publication Number Publication Date
JPH06295876A true JPH06295876A (ja) 1994-10-21

Family

ID=25485317

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5219207A Pending JPH06295876A (ja) 1992-09-17 1993-08-12 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US5466636A (ja)
EP (1) EP0588009A3 (ja)
JP (1) JPH06295876A (ja)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE269588T1 (de) * 1993-02-04 2004-07-15 Cornell Res Foundation Inc Mikrostrukturen und einzelmask, einkristall- herstellungsverfahren
US5731218A (en) * 1993-11-02 1998-03-24 Siemens Aktiengesellschaft Method for producing a contact hole to a doped region
JP2993339B2 (ja) * 1993-12-03 1999-12-20 ヤマハ株式会社 半導体装置の製造方法
JP3256084B2 (ja) * 1994-05-26 2002-02-12 株式会社半導体エネルギー研究所 半導体集積回路およびその作製方法
US5858875A (en) * 1995-02-03 1999-01-12 National Semiconductor Corporation Integrated circuits with borderless vias
US5656543A (en) * 1995-02-03 1997-08-12 National Semiconductor Corporation Fabrication of integrated circuits with borderless vias
US5757077A (en) * 1995-02-03 1998-05-26 National Semiconductor Corporation Integrated circuits with borderless vias
CN1079578C (zh) * 1995-03-04 2002-02-20 现代电子产业株式会社 半导体器件中接触的形成方法
US6066555A (en) 1995-12-22 2000-05-23 Cypress Semiconductor Corporation Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US6242321B1 (en) * 1996-04-23 2001-06-05 International Business Machines Corporation Structure and fabrication method for non-planar memory elements
US5767012A (en) * 1996-06-05 1998-06-16 Advanced Micro Devices, Inc. Method of forming a recessed interconnect structure
US5885856A (en) * 1996-08-21 1999-03-23 Motorola, Inc. Integrated circuit having a dummy structure and method of making
US5891799A (en) * 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates
US6069390A (en) 1998-01-15 2000-05-30 International Business Machines Corporation Semiconductor integrated circuits with mesas
US6177299B1 (en) 1998-01-15 2001-01-23 International Business Machines Corporation Transistor having substantially isolated body and method of making the same
TW375806B (en) * 1998-03-05 1999-12-01 United Microelectronics Corp Process for preparing self-align contact window
US6080620A (en) * 1998-06-03 2000-06-27 Vanguard International Semiconductor Corporation Method for fabricating interconnection and capacitors of a DRAM using a simple geometry active area, self-aligned etching, and polysilicon plugs
US6037620A (en) * 1998-06-08 2000-03-14 International Business Machines Corporation DRAM cell with transfer device extending along perimeter of trench storage capacitor
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6222218B1 (en) * 1998-09-14 2001-04-24 International Business Machines Corporation DRAM trench
US6083827A (en) * 1998-12-15 2000-07-04 United Microelectronics Corp. Method for fabricating local interconnect
DE19907174C1 (de) * 1999-02-19 2000-09-14 Siemens Ag Verfahren zum Herstellen einer DRAM-Zelle mit einem Grabenkondensator
US6016011A (en) * 1999-04-27 2000-01-18 Hewlett-Packard Company Method and apparatus for a dual-inlaid damascene contact to sensor
US6225211B1 (en) 1999-04-29 2001-05-01 Industrial Technology Research Institute Method for making stacked and borderless via structures on semiconductor substrates for integrated circuits
US6294823B1 (en) * 1999-05-12 2001-09-25 Intel Corporation Integrated circuit with insulating spacers separating borderless contacts from the well
US6162722A (en) * 1999-05-17 2000-12-19 United Microelectronics Corp. Unlanded via process
US6225225B1 (en) 1999-09-09 2001-05-01 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures for borderless contacts in an integrated circuit
US6372573B2 (en) * 1999-10-26 2002-04-16 Kabushiki Kaisha Toshiba Self-aligned trench capacitor capping process for high density DRAM cells
US6380063B1 (en) 2000-03-01 2002-04-30 International Business Machines Corporation Raised wall isolation device with spacer isolated contacts and the method of so forming
US6534389B1 (en) * 2000-03-09 2003-03-18 International Business Machines Corporation Dual level contacts and method for forming
US6369419B1 (en) * 2000-06-23 2002-04-09 International Business Machines Corporation Self-aligned near surface strap for high density trench DRAMS
JP4651169B2 (ja) * 2000-08-31 2011-03-16 富士通株式会社 半導体装置及びその製造方法
US6350649B1 (en) 2000-10-30 2002-02-26 Samsung Electronics Co., Ltd. Bit line landing pad and borderless contact on bit line stud with etch stop layer and manufacturing method thereof
US6518671B1 (en) 2000-10-30 2003-02-11 Samsung Electronics Co. Ltd. Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof
US6787906B1 (en) 2000-10-30 2004-09-07 Samsung Electronics Co., Ltd. Bit line pad and borderless contact on bit line stud with localized etch stop layer formed in an undermined region
US6576507B1 (en) 2000-11-14 2003-06-10 International Business Machines Corporation Selectively removable filler layer for BiCMOS process
US6620526B1 (en) * 2000-11-27 2003-09-16 Horng-Huei Tseng Method of making a dual damascene when misalignment occurs
US6642584B2 (en) * 2001-01-30 2003-11-04 International Business Machines Corporation Dual work function semiconductor structure with borderless contact and method of fabricating the same
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
JP2004134521A (ja) * 2002-10-09 2004-04-30 Rohm Co Ltd 半導体装置の製造方法
US20050172976A1 (en) * 2002-10-31 2005-08-11 Newman Deborah J. Electrically heated cigarette including controlled-release flavoring
DE102004019786B3 (de) * 2004-04-23 2005-09-01 Infineon Technologies Ag Verfahren zum Herstellen einer ersten Kontaktlochebene eines Speicherbausteins
US7780862B2 (en) * 2006-03-21 2010-08-24 Applied Materials, Inc. Device and method for etching flash memory gate stacks comprising high-k dielectric
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US7888723B2 (en) * 2008-01-18 2011-02-15 International Business Machines Corporation Deep trench capacitor in a SOI substrate having a laterally protruding buried strap
US8569168B2 (en) 2012-02-13 2013-10-29 International Business Machines Corporation Dual-metal self-aligned wires and vias
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
US20150035064A1 (en) * 2013-08-01 2015-02-05 International Business Machines Corporation Inverse side-wall image transfer

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0334539A (ja) * 1989-06-26 1991-02-14 Philips Gloeilampenfab:Nv 半導体装置の製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3930857A (en) * 1973-05-03 1976-01-06 International Business Machines Corporation Resist process
US3909325A (en) * 1974-06-28 1975-09-30 Motorola Inc Polycrystalline etch
US4359816A (en) * 1980-07-08 1982-11-23 International Business Machines Corporation Self-aligned metal process for field effect transistor integrated circuits
DE3681490D1 (de) * 1985-04-01 1991-10-24 Nec Corp Dynamische speicheranordnung mit wahlfreiem zugriff mit einer vielzahl von eintransistorspeicherzellen.
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4966870A (en) * 1988-04-14 1990-10-30 International Business Machines Corporation Method for making borderless contacts
US4944682A (en) * 1988-10-07 1990-07-31 International Business Machines Corporation Method of forming borderless contacts
US4910155A (en) * 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
US5120677A (en) * 1989-03-23 1992-06-09 Oki Electric Industry Co., Ltd. Method for making a semiconductor device by doping with arsenic, of at least 25 wt. % into a polysilicon layer
JPH0770617B2 (ja) * 1989-05-15 1995-07-31 株式会社東芝 半導体記憶装置
US4987099A (en) * 1989-12-29 1991-01-22 North American Philips Corp. Method for selectively filling contacts or vias or various depths with CVD tungsten
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0334539A (ja) * 1989-06-26 1991-02-14 Philips Gloeilampenfab:Nv 半導体装置の製造方法

Also Published As

Publication number Publication date
EP0588009A3 (en) 1994-12-14
US5466636A (en) 1995-11-14
EP0588009A2 (en) 1994-03-23

Similar Documents

Publication Publication Date Title
JPH06295876A (ja) 半導体装置の製造方法
US6165889A (en) Process for forming trenches and contacts during the formation of a semiconductor memory device
US4634496A (en) Method for planarizing the surface of an interlayer insulating film in a semiconductor device
US5470793A (en) Method of via formation for the multilevel interconnect integrated circuits
US6077733A (en) Method of manufacturing self-aligned T-shaped gate through dual damascene
US4876217A (en) Method of forming semiconductor structure isolation regions
US5677237A (en) Process for removing seams in tungsten plugs
US5385861A (en) Planarized trench and field oxide and poly isolation scheme
US6268252B1 (en) Method of forming self-aligned contact pads on electrically conductive lines
US5262346A (en) Nitride polish stop for forming SOI wafers
US6121146A (en) Method for forming contact plugs of a semiconductor device
US6329281B1 (en) Methods for fabricating a multilevel interconnection for an integrated circuit device utilizing a selective overlayer
JP3102405B2 (ja) 半導体装置の製造方法
US6228770B1 (en) Method to form self-sealing air gaps between metal interconnects
US5981376A (en) Method of forming viahole
JP3183238B2 (ja) 半導体装置の製造方法
US6566236B1 (en) Gate structures with increased etch margin for self-aligned contact and the method of forming the same
US6236091B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6107191A (en) Method of creating an interconnect in a substrate and semiconductor device employing the same
US6204096B1 (en) Method for reducing critical dimension of dual damascene process using spin-on-glass process
US5933718A (en) Method for electrostatic discharge protection through electric field emission
JPH10116904A (ja) 半導体装置の製造方法
KR100427856B1 (ko) 기판내의 트렌치를 채우기 위한 방법
US5077236A (en) Method of making a pattern of tungsten interconnection
US6680537B1 (en) Semiconductor device having a dual damascene interconnect structure and method for manufacturing same