KR100427856B1 - 기판내의 트렌치를 채우기 위한 방법 - Google Patents

기판내의 트렌치를 채우기 위한 방법 Download PDF

Info

Publication number
KR100427856B1
KR100427856B1 KR10-1999-7000437A KR19997000437A KR100427856B1 KR 100427856 B1 KR100427856 B1 KR 100427856B1 KR 19997000437 A KR19997000437 A KR 19997000437A KR 100427856 B1 KR100427856 B1 KR 100427856B1
Authority
KR
South Korea
Prior art keywords
trench
substrate
fill
reference layer
layer
Prior art date
Application number
KR10-1999-7000437A
Other languages
English (en)
Other versions
KR20000067947A (ko
Inventor
노르베르트 엘벨
츠보니미르 가브릭
베른하르트 노이라이터
Original Assignee
지멘스 악티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지멘스 악티엔게젤샤프트 filed Critical 지멘스 악티엔게젤샤프트
Publication of KR20000067947A publication Critical patent/KR20000067947A/ko
Application granted granted Critical
Publication of KR100427856B1 publication Critical patent/KR100427856B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Abstract

본 발명은 기판상에 얕은 트렌치 절연을 형성하기 위한 방법에 관한 것이다. 활성 영역을 절연하기 위해, LOCOS 절연 공정이 점차 소위 얕은 트렌치 절연(STI) 공정으로 대체된다. 본 발명은 오존 활성화 CVD 방법의 선택적 증착을 이용함으로써, 실리콘 기판내에 에칭된 얕은 트렌치를 산화 실리콘으로 채운다. 이로 인해, 매우 복잡하게 형성되는 소위 PAIGE 마스크를 이용한 포토레지스트의 제공 및 구조화가 생략될 수 있다.

Description

기판내의 트렌치를 채우기 위한 방법{METHOD OF FILLING TRENCHES IN A SUBSTRATE}
반도체 모듈상에서의 액티브 영역 절연을 위해, LOCOS-절연은 점점 소위 얕은 트렌치("shallow trench") 절연(STI) 또는 깊은 트렌치("deep trench") 절연으로 대체되거나 또는 보충된다. 특히 새로운 메모리 세대(16M-DRAM 이상) 및 논리 모듈 세대에서는, STI는 LOCOS-절연 보다 높은 수축 가능성으로 인해 보다 많이 사용된다.
그러나, 예컨대 산화물로 채워진 트렌치의 평탄화시에는 일련의 어려움이 있다. 트렌치를 채움으로써, 반도체 웨이퍼의 표면에 큰 토포로지 차이가 생기며, 상기 토포로지 차이는 후속하는 단계에 대한 장애 작용을 일으킬 수 있다. 이것은 상기 토포로지 차이는 평탄화 공정에 의해 다시 제거되어야 한다는 결과를 야기한다. 그러나, 상기 토포로지 차이는 간단한 CMP 공정(chemical-mechanical-polishing)으로는 충분치 않을 정도로 크다. 백에칭에 의한 평탄화 공정은 복잡하며 고가의 프로세스 제어를 필요로 한다.
예컨대, 얕은 트렌치 절연을 위한 선행 기술에 따른 프로세스는 먼저, 약 200 내지 500 nm 깊이의 얕은 트렌치(shallow-trench)를 실리콘 기판내에 에칭한 다음, LPCVD-공정을 통해 상기 트렌치를 TEOS-산화물로 채우고, 그에 따라 형성된 토포로지를 평탄화하는 것이다.
평탄화 단계는 하기 부분 단계를 포함한다:
1. 보조 마스크(PAIGE-마스크)를 이용한 포토레지스트의 제공 및 구조화. 이 경우, 마스크 형성이 복잡하고 비용이 많이 드는 계산 공정을 전제로 하는데, 그 이유는 포토레지스트의 존재 여부가 소위 패턴 팩터에 의존하기 때문이다.
2. 부가의 포토레지스트층의 제공.
3. 포토레지스트 및 산화물의 비선택적 백에칭.
4. 화학적-기계적 연마에 의해 잔류하는 토포로지의 제거.
상기 방법은 많은 시간 및 비용을 필요로 한다. 특히, 새로운 논리 모듈의 제조를 위해, 개별 제품의 마스크 형성을 위한 계산이 재차 수행되므로, 엄청난 시간 및 비용이 소요된다.
본 발명은 기판내의 트렌치를 채우기 위한 방법에 관한 것이다. 본 발명은 특히 반도체 기판상의 얕은 또는 깊은 트렌치 절연부를 형성는 방법에 관한 것이다.
도 1 내지 3은 본 발명에 따른 방법의 제 1 실시예,
도 4 내지 7은 본 발명에 따른 방법의 제 2 실시예,
도 8은 본 발명에 따른 절연 구조물이다.
본 발명의 목적은 기판내의 트렌치를 채우는데 있어 상기 방법이 적은 시간 및 비용으로 경제적으로 수행되며, 최상의 평탄도를 갖는 구조화된 기판을 가능케하는 새로운 방법을 제공하는 것이다.
상기 목적은 청구범위 제 1항에 따른 방법에 의해 달성된다. 또한, 본 발명에 따라 청구범위 제 15항에 따른 절연 구조물이 제공된다. 본 발명의 다른 바람직한 실시예는 청구범위 종속항, 명세서 및 첨부된 도면에 제시된다.
본 발명에 따라 하기 단계를 포함하는, 기판내의 트렌치를 채우기 위한 방법이 제공된다:
a) 기판상에 기준층을 형성하는 단계;
b) 상기 기준층을 패터닝하는 단계;
c) 상기 기판내에 트렌치를 형성하는 단계; 및
d) 형성된 구조물상에 트렌치를 채우기 위해 사용되는 재료를 제공하는 단계,
기준층상에서 트렌치를 채우기 위해 사용된 재료의 성장률이 커버될 트렌치 표면상에서 트렌치를 채우기 위해 사용된 재료의 성장률 보다 적어도 팩터 2 정도 작도록 선택된다. 커버될 트렌치 표면은 통상적으로 기판 재료로 이루어진다. 그러나, 중간층이 제공될 수도 있다.
본 발명에 따른 프로세스는 전체적으로 전술한 STI-기술 실시예 보다 현저히 적은 단계를 포함하기 때문에, 비용면에서 바람직하다. 본 발명에 따른 방법이 필요한 공정과 양립될 수 있기 때문에, 전체 공정 흐름을 변동시키지 않으면서 기존 기술에 통합될 수 있다. 또한, 얕은 트렌치 절연 및 깊은 트렌치 절연에도 적용될 수 있다.
바람직하게는 기판으로는 실리콘 기판이 제공되며, 트렌치를 채우기 위한 절연 물질로는 실리콘 산화물이 사용된다.
또한, 기준층으로는 실리콘 질화물층, 티타늄 질화물층 또는 폴리실리콘층, 특히 도핑된 폴리실리콘층이 제공되는 것이 바람직하다. 실리콘 산화물은 바람직하게는 오존 활성화 CVD-방법, 특히 SACVD-방법으로 증착된다. 이러한 오존 활성화된 CVD-방법은 예컨대 EP-0 582 724 A1호 및 EP-0 537 001 A1호에 상세히 설명되어 있다. 특히 SACVD-증착 공정은 매우 양호한 충전 특성을 나타내며, 이로 인해 4:1의 큰 애스펙트 비를 가진 트렌치를 홀 없이 채울 수 있다.
바람직하게는 트렌치를 채우기 위해 사용된 재료는 평면형 표면이 형성될 때까지 제공된다. 따라서, 웨이퍼 표면은 후속하는 CMP-단계 이전에 이미 평면형 구조로 되어 있기 때문에, 트렌치 영역이 클 때도 CMP-단계 동안 "디싱"이 발생하지 않는다.
기준층은 기판 표면상에 직접 제공될 수 있다. 그러나, 대안으로서 기판과 기준층 사이에 적어도 하나의 중간층, 특히 산화물층이 제공될 수도 있다.
바람직하게는 트렌치를 채우기 위해 사용된 재료의 제공 후에 열산화(예컨대, 10 내지 30분 동안 900 - 1000℃)가 수행된다. 상기 산화는 이미 증착된 재료, 특히 이미 증착된 실리콘 산화물에 의해 야기되고, 트렌치의 형성 동안 발생할 수 있는, 기판내에 남은 손상을 감소시킨다. 또한, 상기 산화시 트렌치의 상부 에지에서 충분한, 소위 "코너 라운딩"이 이루어진다.
기판내의 손상은 트렌치의 형성 후 라이너 산화에 의해서도 감소될 수 있다. 물론 성장된 산화물은 후속해서 습식 화학적으로 다시 제거되어야 하는데, 그렇지 않으면 후속하는 증착 공정의 선택성이 주어지지 않기 때문이다. 이러한 "희생 산화물"은 예컨대 트렌치의 절연 특성을 저하시키는 에칭 손상 및 트렌치 에지에서의 고정 전하를 제거한다.
바람직하게는 트렌치를 채우기 위해 사용된 재료의 제공 후에, 기준층의 높이 위에 배치된 재료의 부분이 다시 제거된다. 이것을 위해, 바람직하게는 CMP-방법이 사용된다.
또한, 얕은 트렌치 및 깊은 트렌치가 동시에 채워지는 것이 바람직하다. 이것은 전체 공정의 복잡성 및 공정 비용을 더욱 현저히 감소시킨다.
특히, 깊은 트렌치의 폭 대 얕은 트렌치의 높이(에칭 깊이 + 기준층의 두께)의 비는 대략 2*α/(α-1)이다. 여기서, α는 커버될 트렌치 표면상에서 트렌치를 채우는데 사용되는 재료의 성장률 대 기준층상에서 트렌치를 채우는데 사용되는 재료의 성장률의 비이다.
또한, 반도체 기판내에 절연 물질로 채워진 트렌치를 포함하는 절연 구조물이 제공된다. 그로 인해, 절연 구조물은 트렌치가 적어도 하나의 얕은 영역 및 적어도 하나의 깊은 영역을 포함하는 것을 특징으로 한다.
따라서, 얕은 트렌치의 장점과 깊은 트렌치의 장점이 결합될 수 있다.
바람직하게는, 깊은 영역의 폭 대 얕은 영역의 깊이의 비는 대략 2*α/(α-1)이다. 여기서, α는 기판상에서 트렌치를 채우기 위해 사용되는 재료의 성장률 대 기준층(5)에서 트렌치를 채우기 위해 사용되는 재료의 성장률의 비이다. 본 발명을 도면을 참고로 구체적으로 설명하면 하기와 같다. 도면에서 동일한 부분은 동일한 도면 부호를 갖는다.
도 1에는 기판(1)이 도시된다. 상기 기판(1)은 산화물층(3) 및 질화물층(4)으로 덮인다. 상기 층 구성은 NIOX 층이라 한다. 질화물층(Si3N4)은 CVD 방법으로 형성된다. 질화물층은 NIOX-층상에 증착된 기준층(5)용 확산 배리어로 사용된다. 여기서, 기준층(5)은 티타늄 질화물로 이루어진다. 그러나, 기준층이 도핑된 폴리실리콘으로 이루어질 수도 있다. 티타늄 질화물층(5)은 질화물층상에의 스퍼터링에 의해 증착된다. 그리고 나서, 트렌치(6)(shallow-trench)를 형성하기 위해 사용되는 포토레지스트(8)가 제공된다.
그 다음에, 기판 표면(2)내에 얕은 트렌치(6)가 에칭된다.
도 2는 에칭된 얕은 트렌치(6)가 오존 활성화 CVD 방법으로 증착된 실리콘 산화물(7)로 채워지는 것을 나타난다.
실리콘 산화물층의 오존 활성화 증착시, 상이하게 형성된 표면상에서 상이한 성장률이 얻어질 수 있다. 본 경우에는 티타늄 질화물층(5)상에서 실리콘 산화물의 성장률은 에칭된 얕은 트렌치의 실리콘상에서 실리콘 산화물의 성장률 보다 팩터 10-15 정도 더 작다.
CVD-증착을 위한 출발 물질로는 TEOS와 더불어, 특히 OMTC(Octa-Metyl-Zyklo-Tetra-Siloxan) 또는 HMDS(Hexa-Metyl-Disiloxan)이 적합하다.
도 3에 나타난 바와 같이, 기판 표면(2)상에 증착된 NIOX층(3, 4), 티탄늄 질화물층(5) 및 오존 활성화 CVD 방법으로 증착된 실리콘 산화물(7)이 특히 백에칭에 의해 제거된다. 그리고 나서, 백에칭된 기판 표면(2)이 CMP-방법(Chemical Mechanical Polishing)에 의해 더욱 평탄화될 수 있다.
도 4 내지 7은 본 발명에 따른 방법의 제 2 실시예를 나타낸다. 도 4에는 기판(1)이 도시된다. 기판(1)은 산화물층(11) 및 질화물층(12)으로 덮인다. 질화물층(Si3N4)은 CVD-방법으로 형성된다. 이 실시예에서 질화물층(12)은 기준층을 형성한다.
포토 기술에 의해 질화물층(12) 및 산화물층(11)이 구조화된다. 그리고 나서, 이방성 에칭 방법에 의해 트렌치(6)가 기판(1)에 에칭된다. 결과적으로 형성된 구조물이 도 4에 도시된다.
그 다음에, 오존 활성화 증착 공정에 의해 질화물층(Si3N4)(12)상에 실리콘 산화물층(13)이 형성되고 동시에 트렌치(6)가 실리콘 산화물로 채워진다. 증착 공정은, 트렌치(6)내의 실리콘 산화물층의 신속한 성장에 의해 질화물층(12) 상에 실리콘 산화물층(13)을 가진 거의 평탄한 표면이 얻어질 때까지 수행된다. 결과적으로 형성된 구조물이 도 5에 도시된다.
다음, 열산화가 이루어진다. 열산화는 이미 증착된 실리콘 산화물(SiO2)에 의해 야기되고, 트렌치(6)의 내부에 있는 기판 표면에 열 산화물층(14)을 형성시킨다. 열산화는 트렌치(6)의 에칭 동안 발생할 수 있는, 기판(1)내에 남은 손상을 줄인다. 또한, 상기 산화에 의해 트렌치(6)의 상부 에지에서 충분한 소위 "코너 라운딩"이 이루어진다. 결과적으로 형성된 구조물이 도 6에 도시된다.
다음, 질화물층(12)의 레벨상에 배치된 실리콘 산화물이 CMP-단계 또는 습식 화학적으로 제거됨으로써, 평면형 표면을 가진 구조물이 형성된다(도 7).
도 8은 본 발명에 따른 절연 구조물(20)을 도시한다. 절연 구조물(20)은 반도체 기판(1)내에 실리콘 산화물(24)로 채워진 트렌치(6)를 포함한다. 또한, 절연 구조물(20)은 실리콘 질화물층(12)의 표면으로부터 높이(T)를 가진 얕은 영역(21)을 포함한다. 또한, 절연 구조물(20)은 깊은 영역(22)을 포함한다. 절연 구조물(20)의 깊은 영역(22)은 폭(B)을 갖는다. 깊은 영역의 폭(B) 대 얕은 영역의 높이(T)의 비는 대략 2*α/(α-1)이다. 상기 식에서, α는 기판(2)상에서 트렌치를 채우기 위해 사용된 재료의 성장률 대 질화물층(12)상에서 트렌치를 채우기 위해 사용된 재료의 성장률의 비이다.
이로 인해, 본 발명에 따른 절연 구조물을 채울 때 실리콘 산화물(24)의 거의 평탄한 표면이 형성된다.

Claims (16)

  1. 기판내의 적어도 하나의 트렌치를 채우기 위한 방법에 있어서,
    a) 기판(1)상에 기준층(5; 12)을 형성하는 단계;
    b) 상기 기준층(5: 12)을 패터닝하는 단계;
    c) 상기 기판(1)내에 트렌치(6)를 형성하는 단계; 및
    d) 형성된 구조물상에 트렌치를 채우기 위한 재료를 증착하는 단계를 포함하며,
    상기 기준층(5; 12)은 상기 기준층(5; 12)상에서 트렌치(6)를 채우기 위해 사용된 재료의 성장률이 커버될 트렌치(6)의 표면상에서 트렌치(6)를 채우기 위해 사용된 재료의 성장률 보다 적어도 팩터 2 정도 작게 선택되는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 기판(1)은 실리콘 기판이며, 상기 트렌치(6)를 채우기 위한 절연 물질이 사용되는 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서, 상기 절연 물질은 실리콘 산화물인 것을 특징으로 하는 방법.
  4. 제 2 항에 있어서, 상기 기준층(5;12)은 실리콘 질화물층, 티타늄 질화물층 또는 폴리실리콘층인 것을 특징으로 하는 방법.
  5. 제 2 항 또는 4 항에 있어서, 상기 실리콘 산화물은 오존 활성화 CVD 방법으로 증착되는 것을 특징으로 하는 방법.
  6. 제 1 항 내지 4 항 중 어느 한 항에 있어서, 상기 트렌치(6)를 채우기 위해 사용된 재료는 평면형 표면이 형성될 때까지 제공되는 것을 특징으로 하는 방법.
  7. 제 1 항 내지 4 항 중 어느 한 항에 있어서, 상기 기판(2)과 상기 기준층(5;12) 사이에 적어도 하나의 중간층이 제공되는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 상기 중간층은 산화물층인 것을 특징으로 하는 방법.
  9. 제 1 항 내지 4 항 중 어느 한 항에 있어서, 상기 트렌치(6)를 채우기 위해 사용된 재료를 제공한 후에 열산화가 수행되는 것을 특징으로 하는 방법.
  10. 제 2 항 또는 4 항에 있어서, 상기 트렌치(6)의 형성 후, 라이너 산화가 수행되는 것을 특징으로 하는 방법.
  11. 제 1 항 내지 4 항 중 어느 한 항에 있어서, 상기 트렌치를 채우기 위해 사용된 재료의 제공 후에, 상기 기준층(5;12)의 레벨상에 배치된 재료 부분이 다시 제거되는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 상기 기준층(5; 12)의 레벨상에 배치된 재료 부분은 CMP-방법에 의해 다시 제거되는 것을 특징으로 하는 방법.
  13. 제 1 항 내지 4 항 중 어느 한 항에 있어서, 얕은 트렌치 및 깊은 트렌치가 동시에 채워지는 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 상기 깊은 트렌치의 폭 대 얕은 트렌치의 높이의 비는 대략 2*α/(α-1)이고, 여기서 α는 커버될 트렌치의 표면상에서 트렌치를 채우기 위해 사용된 재료의 성장률 대 기준층상에서 트렌치를 채우기 위해 사용된 재료의 성장률의 비인 것을 특징으로 하는 방법.
  15. 반도체 기판(1)내에 절연 물질로 채워진 트렌치(6)를 포함하는 절연 구조물(20)에 있어서,
    상기 트렌치는 적어도 하나의 얕은 영역(21) 및 적어도 하나의 깊은 영역(22)을 포함하며, 상기 깊은 영역(22)의 폭 대 상기 얕은 영역(21)의 높이의 비는 대략 2*α/(α-1)이고,
    여기서 α는 커버될 트렌치(6)의 표면상에서 트렌치를 채우는데 사용된 재료의 성장률 대 기준층(5)에서 트렌치를 채우는데 사용된 재료의 성장률의 비인 것을 특징으로 하는 절연 구조물.
  16. 삭제
KR10-1999-7000437A 1996-07-23 1997-07-22 기판내의 트렌치를 채우기 위한 방법 KR100427856B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE19629766A DE19629766C2 (de) 1996-07-23 1996-07-23 Herstellverfahren von Shallow-Trench-Isolationsbereiche in einem Substrat
DE19629766.4 1996-07-23

Publications (2)

Publication Number Publication Date
KR20000067947A KR20000067947A (ko) 2000-11-25
KR100427856B1 true KR100427856B1 (ko) 2004-04-30

Family

ID=7800640

Family Applications (2)

Application Number Title Priority Date Filing Date
KR10-1999-7000411A KR100428700B1 (ko) 1996-07-23 1997-07-22 반도체 기판 내부에 전도율이 높은 가로로 절연된 매립 구역을 만들기 위한 방법
KR10-1999-7000437A KR100427856B1 (ko) 1996-07-23 1997-07-22 기판내의 트렌치를 채우기 위한 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR10-1999-7000411A KR100428700B1 (ko) 1996-07-23 1997-07-22 반도체 기판 내부에 전도율이 높은 가로로 절연된 매립 구역을 만들기 위한 방법

Country Status (8)

Country Link
US (1) US6551902B1 (ko)
EP (2) EP0914678B1 (ko)
JP (2) JP2000515321A (ko)
KR (2) KR100428700B1 (ko)
CN (2) CN1139109C (ko)
AT (2) ATE256340T1 (ko)
DE (3) DE19629766C2 (ko)
WO (2) WO1998003992A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002541664A (ja) * 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
DE10029288A1 (de) * 2000-06-14 2002-01-03 Infineon Technologies Ag Verfahren zur Herstellung einer planaren Maske auf topologiehaltigen Oberflächen
US6541401B1 (en) * 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
WO2005001939A1 (ja) * 2003-06-30 2005-01-06 Rohm Co., Ltd. イメージセンサおよびフォトダイオードの分離構造の形成方法
TWI353644B (en) * 2007-04-25 2011-12-01 Ind Tech Res Inst Wafer level packaging structure

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5536675A (en) * 1993-12-30 1996-07-16 Intel Corporation Isolation structure formation for semiconductor circuit fabrication

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4472240A (en) * 1981-08-21 1984-09-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing semiconductor device
JPS59124141A (ja) * 1982-12-28 1984-07-18 Toshiba Corp 半導体装置の製造方法
KR950002948B1 (ko) * 1991-10-10 1995-03-28 삼성전자 주식회사 반도체 장치의 금속층간 절연막 형성방법
DE69232648T2 (de) * 1991-11-29 2003-02-06 Sony Corp Verfahren zur Herstellung einer Grabenisolation mittels eines Polierschritts und Herstellungsverfahren für eine Halbleitervorrichtung
JP2812599B2 (ja) * 1992-02-06 1998-10-22 シャープ株式会社 半導体装置の製造方法
DE4211050C2 (de) * 1992-04-02 1995-10-19 Siemens Ag Verfahren zur Herstellung eines Bipolartransistors in einem Substrat
EP0582724A1 (de) * 1992-08-04 1994-02-16 Siemens Aktiengesellschaft Verfahren zur lokal und global planarisierenden CVD-Abscheidung von SiO2-Schichten auf strukturierten Siliziumsubstraten
JP2705513B2 (ja) * 1993-06-08 1998-01-28 日本電気株式会社 半導体集積回路装置の製造方法
JPH07106412A (ja) * 1993-10-07 1995-04-21 Toshiba Corp 半導体装置およびその製造方法
KR960002714A (ko) * 1994-06-13 1996-01-26 김주용 반도체소자의 소자분리절연막 형성방법
US5872043A (en) * 1996-07-25 1999-02-16 Industrial Technology Research Institute Method of planarizing wafers with shallow trench isolation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5536675A (en) * 1993-12-30 1996-07-16 Intel Corporation Isolation structure formation for semiconductor circuit fabrication

Also Published As

Publication number Publication date
EP0928500A2 (de) 1999-07-14
CN1139109C (zh) 2004-02-18
EP0914678B1 (de) 2004-11-10
WO1998003991A3 (de) 1998-03-26
EP0928500B1 (de) 2003-12-10
ATE256340T1 (de) 2003-12-15
KR20000067947A (ko) 2000-11-25
ATE282247T1 (de) 2004-11-15
JP2000515321A (ja) 2000-11-14
KR20000067936A (ko) 2000-11-25
CN1139110C (zh) 2004-02-18
CN1226342A (zh) 1999-08-18
DE59711114D1 (de) 2004-01-22
JP2000515320A (ja) 2000-11-14
WO1998003991A2 (de) 1998-01-29
WO1998003992A1 (de) 1998-01-29
EP0914678A1 (de) 1999-05-12
US6551902B1 (en) 2003-04-22
DE19629766C2 (de) 2002-06-27
DE19629766A1 (de) 1998-01-29
DE59712073D1 (de) 2004-12-16
KR100428700B1 (ko) 2004-04-30
CN1226343A (zh) 1999-08-18

Similar Documents

Publication Publication Date Title
US5811315A (en) Method of forming and planarizing deep isolation trenches in a silicon-on-insulator (SOI) structure
US6037237A (en) Trench isolation methods utilizing composite oxide films
US5536675A (en) Isolation structure formation for semiconductor circuit fabrication
US20010036705A1 (en) Semiconductor device and method of manufacturing the device
US6071792A (en) Methods of forming shallow trench isolation regions using plasma deposition techniques
US5112772A (en) Method of fabricating a trench structure
US5918131A (en) Method of manufacturing a shallow trench isolation structure
US6297127B1 (en) Self-aligned deep trench isolation to shallow trench isolation
US6015757A (en) Method of oxide etching with high selectivity to silicon nitride by using polysilicon layer
US6197691B1 (en) Shallow trench isolation process
US6228746B1 (en) Methodology for achieving dual field oxide thicknesses
US6268281B1 (en) Method to form self-aligned contacts with polysilicon plugs
EP0954022B1 (en) Method for providing shallow trench isolation of transistors
WO2003058709A2 (en) Method for forming a shallow trench isolation structure with improved corner rounding
KR100528569B1 (ko) 실리콘 기판에 트렌치 구조물을 형성하는 방법
KR100427856B1 (ko) 기판내의 트렌치를 채우기 위한 방법
US6232203B1 (en) Process for making improved shallow trench isolation by employing nitride spacers in the formation of the trenches
KR100478270B1 (ko) 에어갭을 갖는 셀로우 트렌치 소자 분리막 및 그 제조 방법
US6159821A (en) Methods for shallow trench isolation
KR100244493B1 (ko) 반도체소자의 분리구조 제조방법
US6342432B1 (en) Shallow trench isolation formation without planarization mask
US6214735B1 (en) Method for planarizing a semiconductor substrate
GB2333644A (en) A method of forming void free trench isolation
US6869857B2 (en) Method to achieve STI planarization
US6103594A (en) Method to form shallow trench isolations

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120402

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160401

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee