JPH05127980A - 画像処理装置 - Google Patents

画像処理装置

Info

Publication number
JPH05127980A
JPH05127980A JP3286249A JP28624991A JPH05127980A JP H05127980 A JPH05127980 A JP H05127980A JP 3286249 A JP3286249 A JP 3286249A JP 28624991 A JP28624991 A JP 28624991A JP H05127980 A JPH05127980 A JP H05127980A
Authority
JP
Japan
Prior art keywords
address
image data
pixel
picture
image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3286249A
Other languages
English (en)
Inventor
Tadanobu Kamiyama
忠信 神山
Masami Taoda
政美 垰田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP3286249A priority Critical patent/JPH05127980A/ja
Publication of JPH05127980A publication Critical patent/JPH05127980A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Image Processing (AREA)
  • Digital Computer Display Output (AREA)
  • Memory System (AREA)
  • Editing Of Facsimile Originals (AREA)
  • Controls And Circuits For Display Device (AREA)

Abstract

(57)【要約】 【目的】画像データを任意の角度回転させて転送しても
画像データの変形が生じず、高品質の回転画像が得られ
る画像処理装置を提供する。 【構成】画素密度変換部5は、第1画像メモリ6内の画
像データを読出し、その画像データに対し画素密度変換
を行ない、第2画像メモリ7へ転送する。第1アドレス
生成部8は、第1画像メモリ6から画像データを読出す
際、その画像データを任意の角度回転させて読出すため
の回転アドレスを生成し、この生成した回転アドレスを
読出しアドレスとして第1画像メモリ6に与える。第2
画像メモリ7は、画素密度変換部5からの画像データを
格納する。第2アドレス生成部9は、第2画像メモリ7
に画像データを格納する際、その画像データを任意の角
度回転させて書込むための回転アドレスを生成し、この
生成した回転アドレスを書込みアドレスとして第2画像
メモリ7に与える。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、たとえば、図形や文書
などの画像の編集処理に用いられ、2つの画像メモリ間
で任意の角度回転させた画像データの転送を行なう画像
処理装置に関する。
【0002】
【従来の技術】従来、たとえば、図形や文書などの画像
の編集処理において、2つの画像メモリ間で任意の角度
回転させた画像データの転送を行なう場合、1画素ずつ
アドレス演算を施し、転送を行なっている。その際、で
きるだけ忠実度高く画像転送が行なわれるように、たと
えば、特願平2−165610号に見られる通り、画素
の抜けや漏れがなく転送する技術が開発されている。
【0003】
【発明が解決しようとする課題】ところが、画像データ
を任意の角度回転させた場合、主走査方向および副走査
方向のアクセス画素数が変化してしまい、その結果、画
像データの縦と横との比が変化してしまい、画像データ
が変形してしまうという問題があった。
【0004】そこで、本発明は、画像データを任意の角
度回転させて転送しても画像データの変形が生じず、高
品質の回転画像が得られる画像処理装置を提供すること
を目的とする。
【0005】
【課題を解決するための手段】第1の発明に係る画像処
理装置は、第1画像メモリに格納された画像データを任
意の角度回転させて第2画像メモリに転送するものにお
いて、画像データを記憶する第1画像メモリと、この第
1画像メモリ内の画像データを読出し、その画像データ
に対し画素密度変換を行なう画素密度変換手段と、前記
第1画像メモリから画像データを読出す際、その画像デ
ータを任意の角度回転させて読出すための回転アドレス
を生成し、この生成した回転アドレスを読出しアドレス
として前記第1画像メモリに与えるアドレス生成手段
と、前記画素密度変換手段にて画素密度変換された画像
データを記憶する第2画像メモリとを具備している。
【0006】第2の発明に係る画像処理装置は、第1画
像メモリに格納された画像データを任意の角度回転させ
て第2画像メモリに転送するものにおいて、画像データ
を記憶する第1画像メモリと、この第1画像メモリ内の
画像データを読出し、その画像データに対し画素密度変
換を行なう画素密度変換手段と、この画素密度変換手段
にて画素密度変換された画像データを記憶する第2画像
メモリと、この第2画像メモリに画像データを記憶する
際、その画像データを任意の角度回転させて書込むため
の回転アドレスを生成し、この生成した回転アドレスを
書込みアドレスとして前記第2画像メモリに与えるアド
レス生成手段とを具備している。
【0007】
【作用】画像データを任意の角度回転させて転送する
際、その画像データに対しその回転角度に応じた画素密
度変換を行なうことにより、任意の角度回転させる際に
変化してしまうアクセス画素数を補正するので、画像デ
ータを任意の角度回転させて転送しても画像データの変
形が生じず、高品質の回転画像が得られる。
【0008】
【実施例】以下、本発明の一実施例について図面を参照
して説明する。
【0009】図1は、本実施例に係る画像処理装置の構
成を概略的に示すものである。図において、1は本装置
全体を制御および管理する制御手段としてのCPU(セ
ントラル・プロセッシング・ユニット)で、バス2を介
して接続されるプログラムメモリ3内のプログラムデー
タにしたがって各種制御および処理を実行する。
【0010】バス2には、入出力インタフェイス回路4
および画素密度変換部5が接続されている。入出力イン
タフェイス回路4は、外部機器との間でコマンドステー
タスや画像データの入出力を行なうためのものである。
画素密度変換部5は、画像データを任意の角度回転させ
て転送する際、その画像データの縦と横との比を変更す
るための画素密度変換を行なうものであり、後で詳細を
説明する。
【0011】バス2には、第1画像メモリ6および第2
画像メモリ7が接続されている。第1画像メモリ6およ
び第2画像メモリ7は、それぞれ画像データを格納する
ものであり、本実施例では、画像データを1アドレス1
画素単位で格納するようになっている。
【0012】第1画像メモリ6および第2画像メモリ7
には、それぞれ第1アドレス生成部8および第2アドレ
ス生成部9が接続されている。第1アドレス生成部8お
よび第2アドレス生成部9は、第1画像メモリ6および
第2画像メモリ7に対してアクセスする2次元(X,
Y)のアドレスを生成する2次元アドレスジェネレータ
で、画素密度変換部5からのアクセス要求にしたがって
1アクセス1画素ずつアドレスを発生するものであり、
後で詳細を説明する。
【0013】なお、CPU1内の図示しないメモリに
は、たとえば図2に示すように、あらかじめ指示される
画像の回転角度に対応した、X方向における主走査方向
の先頭アドレスのステップ数MDX、Y方向における主
走査方向の先頭アドレスのステップ数MDY、X方向に
おけるアドレスが変更された際に用いる副走査方向のス
テップ数SDX、Y方向におけるアドレスが変更された
際に用いる副走査方向のステップ数SDY、X方向にお
けるアドレスが変更されない際に用いる副走査方向のス
テップ数RDX、Y方向におけるアドレスが変更されな
い際に用いる副走査方向のステップ数RDY、主走査方
向の繰返し数MN、副走査方向の繰返し数SNなどの各
種パラメータを決定するための演算内容がテーブルとし
て記憶されている。
【0014】たとえば、画像の回転角度が30度(0≦
θ≦π/4)の場合、MDXには「1」が乗算され、MD
Yには「−tanθ」が乗算され、SDXには「sin
θ・cosθ」が乗算され、SDYには「1」が乗算さ
れ、RDXには「sinθ・cosθ」が乗算され、R
DYには「1−tanθ」が乗算され、MNには「l・
cosθ」が乗算され、SNには「w/cosθ」が乗
算される。
【0015】次に、第1アドレス生成部8および第2ア
ドレス生成部9について詳細に説明する。図3は、第1
アドレス生成部8および第2アドレス生成部9の構成を
示すもので、X方向のアドレスを生成するXアドレス生
成部11、Y方向のアドレスを生成するYアドレス生成
部12、X方向の先頭アドレスを生成するX先頭アドレ
ス生成部13、Y方向の先頭アドレスを生成するY先頭
アドレス生成部14、高品位アフィン変換アドレス生成
時、Xアドレスが変化したか否かの判定を行なう判定部
15、Yアドレスが変化したか否かの判定を行なう判定
部16、X方向の走査幅XWを指定する走査幅レジスタ
17、クロック(CCLK)にしたがってアドレス計算
の制御などを行なう制御部18によって構成される。
【0016】Xアドレス生成部11は、たとえば図4に
示すように構成されている。すなわち、Xアドレス生成
部11は、1次元のX方向のアドレスを生成するブロッ
クであり、主走査方向のステップ数を指定するMDXレ
ジスタ21、MDXレジスタ21の出力とX方向のアド
レスであるXADとの加算を行なう加算器22、加算器
22の加算結果を一時記憶するレジスタ23、副走査方
向のステップ数を指定するSDXレジスタ24、高品位
アフィン変換モード時に使用する副走査方向のステップ
数を指定するRDXレジスタ25、判定部15の判定結
果により、高品位アフィン変換時に副走査方向のステッ
プ数を選択するセレクタ26、副走査方向のアドレスを
順次計算する加算器27、加算器27の加算結果を一時
記憶するレジスタ28、X先頭アドレス生成部13で生
成される先頭アドレスと副走査方向のアドレスの選択を
行なうセレクタ29、セレクタ29で選択されたアドレ
スと主走査方向のアドレスの選択を行ない、X方向のア
ドレスXADを出力するセレクタ30によって構成され
る。なお、各パラメータMDX,RDX,SDXは、本
実施例においては、小数部16ビット、整数部16ビッ
ト(符号含む)で表わされている。
【0017】また、図4において、X方向のアドレス変
化の判定部15は、副走査方向のアドレスの整数部の1
ビット目が変化したか否かを判定するイクスルーシブオ
ア(XOR)回路15aと、高品位アフィン変換時のみ
動作するように、XOR回路15aの出力を高品位アフ
ィン変換モードイネーブル信号HAFENによりマスク
するアンド回路15bとによって構成されている。
【0018】また、図4は、Xアドレス生成部11およ
び判定部15を示しているが、Yアドレス生成部12お
よび判定部16も同一の回路にて構成され、信号名など
はXとYを入れ換えたものとなる。したがって、その説
明については省略する。
【0019】X先頭アドレス生成部13は、たとえば図
5に示すように構成されている。すなわち、X先頭アド
レス生成部13は、第1番目の先頭アドレスを指定する
XSTAレジスタ31、主走査方向の先頭アドレスのス
テップ数を指定するSMDXレジスタ32、SMDXレ
ジスタ32の値とこれを1/2にした値の選択を行なう
セレクタ33、主走査方向の先頭アドレスを計算するた
めの加算器34、加算器34の加算結果を一時記憶する
レジスタ35、副走査方向の先頭アドレスのステップ数
を指定するSSDXレジスタ36、SSDXレジスタ3
6の値とこれを1/2にした値の選択を行なうセレクタ
37、副走査方向の先頭アドレスを計算するための加算
器38、加算器38の加算結果を一時記憶するレジスタ
39、副走査方向の先頭アドレスと第1番目の先頭アド
レスXSTAの選択を行なうセレクタ40、副走査方向
の先頭アドレスと主走査方向の先頭アドレスの選択を行
ない、X先頭アドレスXSTADを出力するセレクタ4
1によって構成される。なお、各パラメータSMDX,
SSDXは、本実施例においては、小数部16ビット、
整数部16ビット(符号含む)で表わされている。
【0020】また、図5は、X先頭アドレス生成部13
を示しているが、Y先頭アドレス生成部14も同一の回
路にて構成され、信号名などはXとYを入れ換えたもの
となる。したがって、その説明については省略する。
【0021】制御部18は、たとえば図6に示すように
構成されている。すなわち、制御部18は、コマンド、
モード、クロックにしたがって各回路の制御信号を生成
するブロックであり、アドレス生成部のアドレス計算の
スタートなどを指示するコマンドレジスタ51、動作モ
ードを指定するモードレジスタ52、主走査方向の繰返
し回数を指定するMNレジスタ53、MNレジスタ53
の値をロードして、クロックにしたがってカウントする
カウンタ54、副走査方向の繰返し回数を指定するSN
レジスタ55、SNレジスタ55の値をロードして、ク
ロックにしたがってカウントするカウンタ56、先頭ア
ドレスの主走査方向の繰返し回数を指定するSMNレジ
スタ57、SMNレジスタ57の値をロードして、クロ
ックにしたがってカウントするカウンタ58、先頭アド
レスの副走査方向の繰返し回数を指定するSSNレジス
タ59、SSNレジスタ59の値をロードして、クロッ
クにしたがってカウントするカウンタ60、各種制御信
号を生成する制御回路61によって構成される。
【0022】図7は、通常の2次元アドレスを生成する
際の各パラメータの意味を示している。すなわち、X方
向の走査幅XWの中にある先頭アドレス(XSTA、Y
STA)、次のアドレスはこれに主走査方向のステップ
数を加算したアドレス(XSTA+MDX、YSTA+
MDY)、これを順次繰返し、主走査繰返し回数MN回
繰返す。したがって、主走査方向の最終アドレスは、
(XSTA+MDX*MN、YSTA+MDY*MN)
で表わされる。
【0023】次に、主走査方向の1ラインのアドレス発
生が終了すると、副走査方向のアドレスが計算される。
すなわち、(XSTA+SDX、YSTA+SDY)で
ある。続くアドレスは、(XSTA+SDX+MDX、
YSTA+SDY+MDY)となる。すなわち、ここで
生成される2次元アドレスの計算式は、 XAD=XSTA+MDX*mn+SDX*sn YAD=YSTA+MDY*mn+SDY*sn で表わされる。ここで、mn,snは、それぞれ主走査
方向と副走査方向のカウント数である。ただし、0≦m
n≦MN、0≦sn≦SNである。
【0024】ここで、通常の2次元アドレスを発生する
動作について図8(a)(b)を参照して説明する。図
8(a)(b)は、たとえば#0から#5の6個のアド
レスを生成する場合である。このとき、まず、パラメー
タのセットを行なう。これは、先頭アドレスである#0
のアドレスXSTA,YSTA、主走査幅XW、主走査
方向のステップ数MDX,MDY、副走査方向のステッ
プ数SDX,SDY、主走査方向の繰返し数MN=2、
副走査方向の繰返し数SN=1である。
【0025】次に、モードレジスタ52を通常モードと
し、さらに、アドレス生成イネーブル信号ビットAGE
Nをイネーブルとし、コマンドレジスタ51にクロック
CCLKを1つ入力する。このとき、先頭アドレスが計
算されるが、通常モードであるため、図5におけるセレ
クタ40,41のセレクト信号SSSC,SMSCは共
に”L”となり、先頭アドレスXSTAD=XSTA、
YSTAD=YSTAとして、Xアドレス生成部11、
Yアドレス生成部12にそれぞれ入力される。次に、ア
ドレス生成部11,12においては、セレクタ29,3
0のセレクト信号SSC,MSCが”L”であるため、
XAD=XSTA、YAD=YSTAとして出力され、
2次元アドレスが生成される。
【0026】次に、図示しない2次元−1次元変換器に
てAD=YAD*XW+XADの計算が行なわれ、この
結果がクロックCCLKに同期して発生するADLT信
号により、上記2次元−1次元変換器内のレジスタにラ
ッチされ、出力される。これがAD#0の生成シーケン
スである。これと同時に、MDLT信号により、XAD
=XSTAに加算器22にてMDXレジスタ21の値を
加算した次のアドレスをレジスタ23にラッチし、XA
D=XSTA+MDXとなる。すなわち、このアドレス
生成部11,12のレジスタ23,28と2次元−1次
元変換器内のレジスタとの間でパイプライン構成をとっ
ている。
【0027】一方、制御部18においては、MNレジス
タ53、SNレジスタ55の各値がカウンタ54,56
にそれぞれロードされて、それぞれカウントダウンさ
れ、カウンタ54,56の値はそれぞれ「1」と「0」
となる。また、セレクタ30のセレクト信号MSCは、
先頭アドレスの計算が終了したため”H”となって、レ
ジスタ23の値がアドレスXADとして出力される。
【0028】次に、クロックCCLKが入力されると、
既にレジスタ23には次の#1のアドレスがラッチされ
ているため、このXAD=XSTA+MDX、YAD=
YSTA+MDYによりADが計算されて、ADLT信
号によりラッチされる。同時に、#2のアドレスが加算
器22により計算され、レジスタ23にラッチされる。
すなわち、XAD=XSTA+MDX*2、YAD=Y
STA+MDY*2である。このとき、カウンタ54の
値が”0”となる。
【0029】次に、再びクロックCCLKが入力される
と、ADLT,MDLT,SDLTの各ラッチクロック
がそれぞれイネーブルとなり、ADLT信号によりAD
#2が出力されると同時に、レジスタ28にYSTA+
SDXの値がラッチされ、SSC信号が”H”、MSC
信号が”L”となって、レジスタ28の値がアドレスX
ADとなる。このように、図8(b)に示すタイミング
が制御部18によって生成され、#0〜#5の各アドレ
スが生成される。
【0030】次に、本実施例の回転アドレスを生成する
処理の流れを詳細に説明する。まず、画素抜けが発生せ
ず、さらに、同じ画素を複数回アクセスすることのない
回転アドレスの発生方式について説明する。
【0031】任意方向の直線は、ブレセンハム(Bresen
ham )の直線発生アルゴリズムを使用する。すなわち、
dx,dyをそれぞれ直線の始点と終点のX,Y方向の
距離とすると、dy/dx≦1のときは、X方向は座標
を1ずつ増加し、Y方向にはX方向に1つ増加した際の
増加分を加算することにより、直線を発生することがで
きる。また、dy/dx≧1のときには、Y方向の座標
を1ずつ増加し、X方向にはY方向に1つ増加した際の
増加分を加算することにより、直線を発生することがで
きる。ただし、直線の発生方向によっては、座標を増加
させるのではなく、減少させることとなる。
【0032】すなわち、X方向が負の方向であれば、X
の座標は減少されるし、Y方向が負の方向であれば、Y
の座標は減少される。例として、30度の傾きを持つ直
線を発生した例を図9に示す。本実施例においては、M
DX=1、MDY=−tan30とすることにより、こ
の直線を生成することができる。ここで、dy/dx≦
1の場合には、X方向の各アドレスには1つの画素しか
アドレスが生じない。したがって、この直線をY方向に
平行移動してゆけば、図10に示すように間隙の生じな
いアドレスを発生することが可能である。
【0033】したがって、間隙の生じない回転図形のア
ドレスを発生するには、この直線の発生のアルゴリズム
を主走査方向に用い、副走査方向のアドレス、すなわ
ち、各直線の始点を角度にしたがって生成すればよい。
【0034】次に、副走査方向のアドレス生成について
説明する。ここでは、回転角度が例えば30度の場合に
ついて説明する。この場合、Y方向に対して1ずつ増加
させ、図9と同様の直線アドレスを生成することを考え
る。このときのY方向の距離をdysとすると、Xの座
標は INT(dys*sin30*cos30)……(1) で計算できる。したがって、Y座標は、 dys+MDY*INT(dys*sin30*cos30)……(2) で計算できる(図11)。ここで、INT(dys*s
in30*cos30)は、その値を越えない最大の整
数値を表わす。
【0035】このように、上記(1)式および(2)式
によって副走査方向のアドレス、すなわち、次のライン
の先頭アドレスを生成できる。これにより、30度回転
の場合の副走査方向のアドレス生成を図12に示す。
【0036】しかしながら、このままではハードウェア
規模が膨大になるため、本実施例においては、加算のみ
で計算できるように構成している。すなわち、本実施例
においては、レジスタ28において1つ前の副走査方向
のアドレスを保持しているため、X,Yの変化量を加算
すればよい。したがって、副走査方向のXアドレスXA
DSは、 XADS(n)=XADS(n−1)+SDX で計算でき、副走査方向のYアドレスYADSは、IN
T(XADS)が同じ場合、すなわち、XADSが変化
しない場合には、 YADS(n)=YADS(n−1)+SDY で計算でき、また、INT(XADS)が1つ増加した
場合、すなわち、XADSが変化した場合には、 YADS(n)=YADS(n−1)+SDY+MDY で計算できる。ここで、RDY=SDY+MDYとする
と、XADSが変化した場合には、 YADS(n)=YADS(n−1)+RDY で計算することができる。
【0037】したがって、30度回転の場合には、XA
DSが変化するかどうかにより、前のY副走査アドレス
YADS(n−1)にSDYを加えるかRDYを加える
かを制御すればよい。これにより、生成された30度回
転時のアドレスを図12に示す。
【0038】次に、本実施例におけるハードウェアの動
作を30度回転を例として説明する。まず、パラメータ
のセットを行なう。これは、先頭アドレスであるA0の
アドレスXSTA,YSTA、主走査幅XW、主走査方
向のステップ数MDX=1、MDY=−tan30、副
走査方向のステップ数SDX=sin30*cos3
0、SDY=1、主走査方向の繰返し数MN=8、副走
査方向の繰返し数SN=5、高品位アフィン変換用副走
査ステップ数RDX=SDX=sin30*cos3
0、RDY=1+MDY=1−tan30である。
【0039】次に、モードレジスタ52を高品位アフィ
ン変換モードとして、イネーブル信号HAFENをイネ
ーブルとする。さらに、アドレス生成イネーブル信号ビ
ットAGENをイネーブルとし、コマンドレジスタ51
にクロックCCLKを1つ入力する。このとき、先頭ア
ドレスが計算されるが、通常モードであるため、図5に
おけるセレクタ40,41のセレクト信号SSSC,S
MSCは共に”L”となり、先頭アドレスXSTAD=
XSTA,YSTAD=YSTAとして、Xアドレス生
成部11、Yアドレス生成部12にそれぞれ入力され
る。次に、アドレス生成部11,12においては、セレ
クタ29,30のセレクト信号SSC,MSCが”L”
であるめ、XAD=XSTA、YAD=YSTAとして
出力され、2次元アドレスが生成される。
【0040】次に、図示しない2次元−1次元変換器に
てAD=YAD*XW+XADの計算が行なわれ、この
結果がクロックCCLKに同期して発生するADLT信
号により、上記2次元−1次元変換器内のレジスタにラ
ッチされ、出力される。これがA0の生成シーケンスで
ある。これと同時に、MDLT信号により、XAD=X
STAに加算器22にてMDXレジスタ21の値を加算
した次のアドレスをレジスタ23にラッチし、XAD=
XSTA+MDXとなる。
【0041】一方、制御部18においては、MNレジス
タ53、SNレジスタ55の値がカウンタ54,56に
それぞれロードされ、それぞれカウントダウンされ、カ
ウンタ54,56の値はそれぞれ「7」と「4」とな
る。また、セレクタ30のセレクト信号MSCは、先頭
アドレスの計算が終了したため”H”となって、レジス
タ23の値がアドレスXADとして出力される。
【0042】次に、クロックCCLKが入力されると、
既にレジスタ23には次のA1のアドレスがラッチされ
ているため、このXAD=XSTA+MDX、YAD=
YSTA+MDYによりADが計算されて、ADLT信
号によりラッチされる。同時に、A2のアドレスが加算
器22により計算され、レジスタ23にラッチされる。
すなわち、XAD=XSTA+MDX*2、YAD=Y
STA+MDY*2である。このとき、カウンタ54の
値が”6”となる。
【0043】これが繰返され、カウンタ54の値が”
0”になり、再びクロックCCLKが入力されると、A
DLT,MDLT,SDLTの各ラッチクロックがイネ
ーブルとなり、ADLT信号によりA8が出力されると
同時に、レジスタ28にB0のアドレスが計算され、ラ
ッチされる。このとき、30度回転の場合、X方向の副
走査ステップ数は判定部16の結果に依存せず、SDX
を前のラインの先頭アドレスに加算するように、RDX
=SDXとしている。
【0044】本実施例では、このようにRDX=SDX
として、HSCX信号によりセレクタ26が切換えられ
ても同じ値になるようにパラメータをセットしている
が、判定部16をディスェーブルとして、”L”として
おいてもよい。B0のアドレス計算時においては、A0
のXアドレスにSDXを加算しても整数部の1ビット目
が変化しないため、判定部15のHSCY信号が”L”
となり、B0のYアドレスの計算にはSDYが使用され
る。これから、再び、主走査方向にB0〜B8のアドレ
スが生成される。
【0045】これを順次繰返すと、D0のアドレス計算
時において、判定部15のHSCY信号が”H”とな
り、Yアドレス生成部12内におけるセレクタ26によ
りRDYが選択され、加算器27によりC0のYアドレ
スと加算され、レジスタ28にSDLT信号によりラッ
チされる。これを繰返すことにより、図13に示すよう
な30度回転した図形アドレスを生成することができ
る。
【0046】なお、本実施例においては、30度回転の
場合について説明したが、他の角度については、図2に
示す記憶テーブルを用いて各パラメータの値を求め、セ
ットすることにより、任意角度の回転アドレスを高速に
生成することができる。
【0047】次に、画素密度変換部5について詳細に説
明する。図14は、画素密度変換部5の構成を示すもの
である。図において、71は処理対象の画像データを格
納し、画素密度変換処理に供するラインバッファであ
り、たとえば2ラインバッファが用いられる。変換画素
位置検出回路72は、画素密度変換して出力する画像デ
ータの各画素に対応する入力画像データ上での位置を変
換画素位置として計算する。画素抽出回路73は、上記
変換画素位置の情報にしたがい、その変換画素位置周辺
の入力画素デ−タをラインバッファ71から選択的に抽
出する。
【0048】なお、外部領域クリア回路74は、変換画
素位置周辺の画素デ−タとして入力画像データの外部領
域が参照されたとき、その外部領域に相当する画素デ−
タを背景濃度(たとえば白画素デ−タ)に変換(クリ
ア)するものである。
【0049】変換演算回路75は、画素抽出回路73に
て抽出された変換画素位置周辺の入力画素デ−タに対し
て所定の演算処理を施し、出力画像データの各画素デ−
タを求める。この変換処理は、たとえば後述するように
黒画素優先パラメ−タを設定するなどして行なわれる。
【0050】入力部(ラインバッファ71の前段)に設
けられた白黒反転回路76は、入力インタフェイス回路
77を介して与えられる処理対象の画像データ(入力画
像データ)の濃度を、その画像データの性質に応じて反
転処理し、白地画像としてラインバッファ71に格納し
て画素密度変換処理に供する。また、出力段(変換演算
回路75の後段)に設けられた白黒反転回路78は、入
力段の白黒反転回路76の動作に応動して、変換演算回
路75から出力される画像データを白黒反転する。画素
密度変換されて出力される画像データは、この白黒変換
回路78から出力インタフェイス回路79を介して出力
される。
【0051】制御回路80は、これらの各回路の動作を
制御し、画素密度変換による画像データの拡大・縮小処
理のシ−ケンスを制御するもので、CPUバス81を介
して、外部のCPU(図示しない)との間で各種の制御
コマンドや実行パラメ−タを授受して、その処理動作を
実行する。また、ここでは、入力インタフェイス回路7
7、出力インタフェイス79を介する画像データの入出
力は、画像デ−タバス82を介して所定の外部機器との
間で行なわれるものとなっている。
【0052】このように構成された画素密度変換部5の
各部について更に詳細に説明する。まず、白黒反転回路
76,78は、たとえば図15(a)に示すように、排
他的論理和回路85により、画素デ−タ(2値)と白地
・黒地の識別情報(2値)とを排他的論理和処理するご
とく構成される。また、図15(b)に示すように、排
他的否定論理和回路86とインバータ回路87とを用い
て同種の処理を行なうごとく構成され、あるいは、図1
5(c)に示すように、インバータ回路88にて反転さ
れた画素デ−タ、非反転画素デ−タをマルチプレクサ8
9を用いて選択的に抽出するごとく構成される。
【0053】このように構成される白黒反転回路76,
78により、白地画像デ−タであれば黒地画像デ−タ
に、また、黒地画像デ−タであれば白地画像デ−タに濃
度反転処理される。
【0054】しかして、入力段の白黒反転回路76は、
制御回路80の制御の下で、その出力画像データが白地
画像デ−タとなるごとく動作制御される。この動作制御
により、入力画像デ−タが白地画像デ−タである場合に
は、その白地画像デ−タのままラインバッファ71に出
力され、黒地画像デ−タであれば白地画像デ−タに反転
処理されてラインバッファ71に出力される。また、出
力段の白黒反転回路78は、入力段の白黒反転回路76
の動作に応動し、白黒反転回路76にて反転処理動作が
実行されたときのみ、変換演算回路75からの出力画像
デ−タに対して反転処理を実行する。
【0055】ここで、画素密度変換による画像の拡大・
縮小の原理について説明すると、図16に示すように、
入力画像データの画素(図中○印で示す)間距離を”
1”として正規化し、拡大・縮小された出力画像データ
の画素(図中×印で示す)間距離を”s”として示す
と、その変換倍率rは(1/s)で表わされる。また、
出力画像デ−タのj番目の画素に対応する入力画像デ−
タ上での画素位置(サンプリング位置)iは、[ ]を
ガウス記号として i=[s・j] を満足する入力画像デ−タ上でのi番目の画素位置から t=s・j−1 なる微小変位を持つ位置として考えることができる。
【0056】変換画素位置検出回路72は、このような
画素密度変換における入力画像デ−タと出力画像デ−タ
との対応関係に立脚して実現される。図17は、この変
換画素位置検出回路72を構成する列方向(X方向)お
よび行方向(Y方向)の画素位置検出部の構成例を示す
ものであり、処理対象の画像デ−タに対してX方向およ
びY方向の画素位置検出部を2組用いて変換画素位置検
出回路72が実現される。
【0057】すなわち、レジスタ91は、上記サンプリ
ング間隔sを示すデ−タの整数部(i)を格納し、レジ
スタ92は、その小数部(t)を格納するものである。
これらのレジスタ91,92にそれぞれ格納された各値
が加算器93,94に与えられ、クロックCP−CNV
にしたがってラッチ回路95,96に順次取込まれる。
【0058】なお、加算器93,94は、ラッチ回路9
5,96のラッチデ−タにレジスタ91,92から与え
られるデ−タを累積加算するものであり、小数部のデ−
タを累積加算する加算器94のキャリ信号は整数部のデ
−タを加算する加算器93に与えられ、その加算出力値
が+1される。これらの加算器93,94とラッチ回路
95,96とにより、クロックCP−CNVに同期して
変換画素位置が順次更新される。
【0059】一方、カウンタ97は、クロックCP−O
RGを計数して、入力画像デ−タの画素走査位置を求め
ている。このカウンタ97で計数される入力画像デ−タ
の走査画素位置デ−タと、ラッチ回路95で求められる
変換画素位置デ−タとが比較器98によって比較され、
それらのデ−タが一致したとき、変換演算要求信号RQ
−CNVが出力されるようになっている。
【0060】このような変換座標位置の検出処理が、処
理対象の画像データのX方向(主走査方向)およびY方
向(副走査方向)についてそれぞれ行なわれ、その双方
から変換演算要求信号RQ−CNVが出力されるタイミ
ングとして、変換画素位置が特定される。
【0061】なお、上記X方向の画素位置検出において
は、1行の画素走査が終了する都度、ラッチ回路95,
96をクリアして、各行における画素位置検出が行なわ
れるようになっている。
【0062】図18は、変換画素位置検出回路72から
の変換演算要求信号RQ−CNVを受けて動作する画素
抽出回路73を示すもので、ここではラインバッファ7
1および外部領域クリア回路74とともに示してある。
【0063】ラインバッファ71は、具体的には図18
に示すように、2ライン分の画素デ−タを順次格納する
2ラインバッファとして実現される。画素抽出回路73
は、このラインバッファ71から列方向に2画素ずつ並
列出力される画素デ−タを(2×2)画素の範囲に亘っ
て格納する(2×2)個のレジスタ101と、これらの
レジスタ101に格納された画素デ−タを、画像回転処
理を施して抽出するマルチプレクサ102とによって構
成される。
【0064】マルチプレクサ102による抽出画素の回
転処理について簡単に説明すると、(2×2)画素領域
において、その参照画素が図19(a)に示される場合
と、図19(b)に示されるような場合とで、その変換
演算結果が同じであることに着目し、図19に示すよう
に、変換画素位置が原画素間を4分割してなる第2象現
となるようにして、画素デ−タの選択抽出を行なうこと
により、上記変換演算の冗長性を除去するようにしたも
のである。
【0065】基本的には、このように構成される画素抽
出回路73に対して、外部領域クリア回路74は、ライ
ンバッファ71への画素デ−タの入力を制御するゲ−ト
回路103、およびレジスタ101への(2×2)画素
領域の画素デ−タの格納を制御するシフトレジスタ10
4と、2つのゲ−ト回路105,106とにより構成さ
れる。
【0066】すなわち、変換画素位置が決定されて、そ
の周辺の(2×2)画素領域の画素デ−タを参照して画
素密度変換のための演算処理を行なおうとする場合、図
20に例示するように変換画素位置によっては、つま
り、変換画素位置が入力画像データの境界部として特定
された場合には、入力画像データの領域以外の外部領域
までも参照してしまう場合がある。このような外部領域
の画素デ−タは、通常、ラインバッファ71に格納され
る際、その値が不定となるもので、この不定値をそのま
ま変換演算に用いるには問題がある。
【0067】そこで、外部領域クリア回路74は、ライ
ンバッファ71の入力段に設けられたゲ−ト回路103
にて入力画像データの走査開始前、および走査終了後に
おける画素デ−タの入力を阻止し、かつ、行方向の画素
走査ラインにおいて、その先頭部以前および後尾部以後
の画素デ−タの入力を阻止している。
【0068】そして、ラインバッファ71への画像デ−
タの格納動作については、最初にラインバッファ71の
全てを零(0)にクリアした後、変換演算に(2×2)
画素の領域として参照される外部領域を含む入力画像デ
ータの全体にわたって、その画素デ−タをラインバッフ
ァ71に格納するごとく、そのデ−タ入力制御を行なっ
ている。この結果、ラインバッファ71には、画像領域
内の画素デ−タだけが入力され、ラインバッファ71上
で領域外の画素部分に該当するデ−タ格納位置にはそれ
ぞれ”0”なるデ−タが格納されるようになっている。
【0069】ここで、変換演算回路75の原理を図21
を用いて説明する。図において、P11,P12,P2
1,P22は参照する(2×2)の原画素を示す。これ
ら画素に囲まれる正方形領域を、図に示すようにA0〜
A3の4つのエリアと、それぞれのエリアを更にSA0
0〜SA03の4つのサブエリアに分割する。このP1
1,P12,P21,P22に囲まれた領域と変換画素
の位置が演算された場合、その濃度を決定する際にP1
1,P12,P21,P22のそれぞれの濃度が参照さ
れるが、それと共に、このサブエリアのどこに変換画素
位置があるかの情報が濃度決定の上で参照される。
【0070】本実施例では、図19の説明でも明らかな
ように、A0〜A3はそれぞれP11,P12,P2
1,P22の参照の仕方をそれぞれに回転させれば同値
となるため、図18のマルチプレクサ102を、図22
に示すように、4つの4:1マルチプレクサ111〜1
14によって構成し、エリア番号A0〜A3によって参
照画素を変えて選択すれば、冗長部を削減できる。した
がって、参照画素があらかじめこのように処理されてお
れば、変換画素位置は各エリアのどの位置、すなわち、
SA00〜SA03のいずれかであるかがわかればよ
い。
【0071】したがって、本実施例では、この変換演算
回路75をR11,R12,R21,R22の参照画素
(4ビット)と、サブエリア情報SA00〜SA03
(2ビットのエンコ−ドデ−タ)との計6本の選択信号
で構成される、図23に示すところのRAM121の6
4項目テ−ブルで構成している。
【0072】次に、このテ−ブルに設定するパラメ−タ
の演算方法について説明する。ここでは画素密度を減少
させる、すなわち、縮小変換の場合について述べる。こ
こで、図16に示すように変換画素間の距離をSとする
とき、これが0<S≦2の範囲であれば、(2×2)の
参照でも、変換画素濃度の決定に対し全ての原画素が参
照されるため、テ−ブルのパラメ−タを注意深く選定す
れば、R11,R12,R21,R22の情報を忠実に
反映させることができる。たとえば、R11,R12,
R21,R22が全て「0」の場合、変換画素は「0」
だが、いずれかの1つでも「1」がある場合には、それ
を「1」として出力するように設定することである。
【0073】このようにすれば、最低、従来の問題点で
ある、かすれや切れをなくすことができる。このときの
テ−ブルのパラメ−タ設定例を図24に示す。しかし、
このようにすると、等倍に近い変換率の場合でも周辺画
素の影響が大きすぎ、不必要に「1」よりの変換結果が
出力され、つぶれの原因を作ることになってしまう。
【0074】そこで、本実施例では、図25に示すよう
に、各参照画素の周辺S/2までを参照エリアとし、あ
るエリアに変換画素位置が定まった場合、そのエリア内
の参照画素濃度とするようにし、これが複数個ある場合
には、その原画素濃度のいずれかが「1」の場合には
「1」とするようにする。すなわち、同図で、エリア0
はR11が「1」なら「1」、「0」なら「0」とする
が、エリア1ではR11あるいはR12のいずれかが
「1」のときは「1」、エリア4はR11〜R22の4
個の原画素のうちいずれかが「1」なら「1」とする。
【0075】このようにすれば、変換率が等倍に近けれ
ば、実質的に最近傍の原画素が変換画素の濃度となり、
つぶれを防ぐことができ、これよりも縮小する度合を大
きくした場合にも、図26が示す通り、参照画素濃度が
変換画素濃度に反映させられないために生ずる、かすれ
や切れを回避させることができる。
【0076】すなわち、これは、たとえばPjのまわり
±S/2に広がっている参照エリアに対し、変換画素間
距離Sは変換画素位置がどこにあっても、Pjを非参照
画素として飛び越えて処理されることはないという理由
に基づく。また、参照エリアがS/2というのは必要に
して最小の値であり、不必要なつぶれを防ぐことができ
る。本実施例のように、サブエリアを(2×2)に4分
割するような場合は、 2/4<S/2≦3/4 ∴1<S≦3/2 ……(A) 3/4<S/2≦4/4 ∴3/2<S≦2 ……(B) の2種類の場合分けが可能で、(A)の条件のときの演
算結果を図27に示す。(B)の条件のときは、図24
を用いればよいこととなる。なお、サブエリアを(2×
2)ではなく、(4×4)とすれば、よりきめ細かなパ
ラメ−タのセッティングが可能となる。
【0077】また、上記実施例は、(2×2)の参照の
場合であるが、より多くの画素を参照する方法も可能で
ある。その場合は、図23に示すテ−ブルを大きくし、
図18に示す回路を図28に示すように拡大すればよ
い。図28において、107,108はゲート回路であ
る。
【0078】次に、画像データを任意の角度回転させて
転送する処理について、図29および図30を用いて説
明する。図29は、画像データの流れを示しており、た
とえば、第1画像メモリ6から第2画像メモリ7へ画像
データを転送する場合を例に示している。図30は、画
像データの転送を行なう際のパラメータ算出フローチャ
ートを示している。
【0079】まず、ステップS1において、読出側(第
1画像メモリ6側)の第1アドレス生成部8の各パラメ
ータを算出し、ステップS2に進む。ステップS2で
は、書込側(第2画像メモリ7側)の第2アドレス生成
部9の各パラメータを算出し、ステップS3に進む。な
お、ステップS1,S2では、図2に示す演算表に基づ
いて各パラメータを算出する。
【0080】ステップS3では、読出側の回転角度が
0, 1/2π,π, 3/2πでないか否かを判断し、そうで
なければステップS4に進む。ステップS4では、書込
側の回転角度が0, 1/2π,π, 3/2πでないか否かを
判断する。ステップS3,S4において、回転角度が
0, 1/2π,π, 3/2πでない場合、ステップS5に進
む。ステップS5では、画素密度変換部5の画素密度演
算パラメータを算出し、ステップS6に進む。ステップ
S6では、画素密度変換部5による画素密度変換を行な
い、その画像データを転送する。
【0081】すなわち、第1アドレス生成部8は、ステ
ップS1で算出されたパラメータに基づき回転アドレス
を生成し、それを読出しアドレスとして第1画像メモリ
6に送る。これにより、第1画像メモリ6からは、第1
アドレス生成部8からの回転アドレスに基づき画像デー
タが読出され、画素密度変換部5に入力される。画素密
度変換部5は、ステップS5で算出された画素密度演算
パラメータに基づき、第1画像メモリ6からの画像デー
タに対して画素密度変換を行ない、その画素密度変換し
た画像データを第2画像メモリ7へ転送する。
【0082】第2画像メモリ7側では、第2アドレス生
成部9が、ステップS2で算出されたパラメータに基づ
き回転アドレスを生成し、それを書込みアドレスとして
第2画像メモリ7に送る。これにより、第2画像メモリ
7には、画素密度変換部5からの画像データが、第2ア
ドレス生成部9からの回転アドレスに基づき書込まれ
る。
【0083】ステップS4において、回転角度が0, 1
/2π,π, 3/2πである場合、ステップS7に進む。ス
テップS7では、画素密度変換を行なうことなく、第1
画像メモリ6からの画像データをそのまま第2画像メモ
リ7へ転送する。
【0084】このように、画像データに対する画素密度
変換は、読出側あるいは書込側のいずれか一方が任意の
角度回転(0, 1/2π,π, 3/2π以外の回転)してい
る場合にのみ必要となる。回転角度が0, 1/2π,π,
3/2πの場合は、前述したような画像データの変形は生
じないので、上記したような画素密度変換は不要であ
る。
【0085】次に、ステップS5における画素密度演算
パラメータの算出について、図31および図32に示す
フローチャートを参照して説明する。いま、たとえば図
33に示すように、長さa、幅bの矩形領域を水平軸に
対してα度回転して読出し、同じくβ度回転して書込む
場合について説明する。ここでは、読出側および書込側
の双方が共に任意の角度回転している一般的な場合につ
いて示している。なお、どちらかが非回転の場合には、
該当側の回転角度を「0」とすればよい。
【0086】まず、ステップS11において、図示しな
い指定手段で指定された読出側(第1画像メモリ6側)
の回転角度αおよび書込側(第2画像メモリ7側)の回
転角度βを読込み、ステップS12に進む。ステップS
12では、読出側主走査方向の繰返し数MNαを算出
し、ステップS13に進む。ステップS13では、読出
側副走査方向の繰返し数SNαを算出し、ステップS1
4に進む。
【0087】ステップS14では、書込側主走査方向の
繰返し数MNβを算出し、ステップS15に進む。ステ
ップS15では、読出側副走査方向の繰返し数SNβを
算出し、ステップS16に進む。ステップS16では、
主走査方向の変換率γMNを算出し、ステップS17に進
む。ステップS17では、副走査方向の変換率γSNを算
出し、処理を終了する。
【0088】なお、図31および図32では、回転角度
α,βとも0〜 1/4πの範囲の場合について示している
が、他の組合わせについては、図2に示すMN,SNの
項を参照して算出すればよい。
【0089】
【発明の効果】以上詳述したように本発明によれば、画
像データを任意の角度回転させて転送する際、その画像
データに対しその回転角度に応じた画素密度変換を行な
うことにより、任意の角度回転させる際に変化してしま
うアクセス画素数を補正するので、画像データを任意の
角度回転させて転送しても画像データの変形が生じず、
高品質の回転画像が得られる画像処理装置を提供でき
る。
【図面の簡単な説明】
【図1】本発明の一実施例に係る画像処理装置の構成を
概略的に示すブロック図。
【図2】画像データの回転角度に応じた各種パラメータ
の演算テーブルを示す図。
【図3】第1,第2アドレス生成部の構成を示すブロッ
ク図。
【図4】Xアドレス生成部の構成を示すブロック図。
【図5】X先頭アドレス生成部の構成を示すブロック
図。
【図6】制御部の構成を示すブロック図。
【図7】通常の2次元アドレスを生成する際の各パラメ
ータの意味を示す図。
【図8】通常の2次元アドレスを生成する動作を説明す
るための図。
【図9】直線アドレスの生成を説明するための図。
【図10】画素抜けの生じないアドレスの生成を説明す
るための図。
【図11】副走査方向のアドレスの計算を説明するため
の図。
【図12】30度回転の場合の副走査方向の生成したア
ドレスを示す図。
【図13】生成された30度回転した図形アドレスを示
す図。
【図14】画素密度変換部の構成を示すブロック図。
【図15】白黒反転回路の各例を示す回路図。
【図16】画素密度変換による画像の拡大・縮小の原理
の一例を説明するための図。
【図17】変換画素位置検出回路の構成を示すブロック
図。
【図18】画素抽出回路の構成を示すブロック図。
【図19】画素抽出回路のマルチプレクサによる抽出画
素の回転処理の一例を説明するための図。
【図20】変換画素位置の一例を説明するための図。
【図21】変換演算回路の原理を説明するための図。
【図22】画素抽出回路におけるマルチプレクサの他例
を示す構成図。
【図23】RAMのテ−ブルの一例を示す構成図。
【図24】テ−ブルのパラメ−タ設定例を示す説明図。
【図25】画素密度変換の縮小変換例を説明するための
図。
【図26】画素密度変換の縮小変換例を説明するための
図。
【図27】テ−ブルのパラメ−タ設定例を示す説明図。
【図28】画素抽出回路の他の例を示す構成図。
【図29】画像データの流れを示す図。
【図30】画像データの転送を行なう際のパラメータ算
出を説明するためのフローチャート。
【図31】画素密度演算パラメータの算出を説明するた
めのフローチャート。
【図32】画素密度演算パラメータの算出を説明するた
めのフローチャート。
【図33】画素密度演算パラメータの算出を説明するた
めの図。
【符号の説明】
1……CPU(制御手段)、3……プログラムメモリ、
5……画素密度変換部、6……第1画像メモリ、7……
第2画像メモリ、8……第1アドレス生成部、9……第
2アドレス生成部。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H04N 1/387 8839−5C

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 第1画像メモリに格納された画像データ
    を任意の角度回転させて第2画像メモリに転送するもの
    において、 画像データを記憶する第1画像メモリと、 この第1画像メモリ内の画像データを読出し、その画像
    データに対し画素密度変換を行なう画素密度変換手段
    と、 前記第1画像メモリから画像データを読出す際、その画
    像データを任意の角度回転させて読出すための回転アド
    レスを生成し、この生成した回転アドレスを読出しアド
    レスとして前記第1画像メモリに与えるアドレス生成手
    段と、 前記画素密度変換手段にて画素密度変換された画像デー
    タを記憶する第2画像メモリと を具備したことを特徴とする画像処理装置。
  2. 【請求項2】 第1画像メモリに格納された画像データ
    を任意の角度回転させて第2画像メモリに転送するもの
    において、 画像データを記憶する第1画像メモリと、 この第1画像メモリ内の画像データを読出し、その画像
    データに対し画素密度変換を行なう画素密度変換手段
    と、 この画素密度変換手段にて画素密度変換された画像デー
    タを記憶する第2画像メモリと、 この第2画像メモリに画像データを記憶する際、その画
    像データを任意の角度回転させて書込むための回転アド
    レスを生成し、この生成した回転アドレスを書込みアド
    レスとして前記第2画像メモリに与えるアドレス生成手
    段とを具備したことを特徴とする画像処理装置。
JP3286249A 1991-10-31 1991-10-31 画像処理装置 Pending JPH05127980A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3286249A JPH05127980A (ja) 1991-10-31 1991-10-31 画像処理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3286249A JPH05127980A (ja) 1991-10-31 1991-10-31 画像処理装置

Publications (1)

Publication Number Publication Date
JPH05127980A true JPH05127980A (ja) 1993-05-25

Family

ID=17701919

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3286249A Pending JPH05127980A (ja) 1991-10-31 1991-10-31 画像処理装置

Country Status (1)

Country Link
JP (1) JPH05127980A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE41522E1 (en) 1995-10-20 2010-08-17 Seiko Epson Corporation Method and apparatus for scaling up and down a video image
JP2012203363A (ja) * 2011-03-28 2012-10-22 Japan Radio Co Ltd キャッシュメモリ装置及び制御方法
US8816983B2 (en) 2011-12-26 2014-08-26 Kabushiki Kaisha Toshiba Electronic device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE41522E1 (en) 1995-10-20 2010-08-17 Seiko Epson Corporation Method and apparatus for scaling up and down a video image
USRE42656E1 (en) 1995-10-20 2011-08-30 Seiko Epson Corporation Method and apparatus for scaling up and down a video image
USRE43641E1 (en) 1995-10-20 2012-09-11 Seiko Epson Corporation Method and apparatus for scaling up and down a video image
JP2012203363A (ja) * 2011-03-28 2012-10-22 Japan Radio Co Ltd キャッシュメモリ装置及び制御方法
US8816983B2 (en) 2011-12-26 2014-08-26 Kabushiki Kaisha Toshiba Electronic device

Similar Documents

Publication Publication Date Title
US5657403A (en) Vision coprocessing
US5097518A (en) Technique for performing digital image scaling by logically combining or replicating pixels in blocks of differing groupsizes
EP1031108A1 (en) Data processing system for logically adjacent data samples such as image data in a machine vision system
US5889893A (en) Method and apparatus for the fast rotation of an image
US5241626A (en) Image processing apparatus having improved two-dimensional address generator
JP3022903B2 (ja) 画像回転装置
JPH05127980A (ja) 画像処理装置
Gour et al. Hardware accelerator for real-time image resizing
EP0700196B1 (en) Method and apparatus for image scaling using parallel incremental interpolation
KR19990008388A (ko) 연산기능을 갖는 반도체메모리 및 그것을 사용한 처리장치
JPH0481231B2 (ja)
JPH0370431B2 (ja)
JPS61140271A (ja) 画像拡大縮小回路
JPS6217236B2 (ja)
KR100206258B1 (ko) 화상추출장치
JPH0785266A (ja) 画像回転装置
JPS62264344A (ja) アドレス制御装置
US6985624B2 (en) Image processing apparatus and its method
JP3266209B2 (ja) ジグザグ・スキャン・アドレス生成方法および回路
JP2776957B2 (ja) 画像拡大縮小方法及びその装置
CN117173027A (zh) 一种模板图坐标变换电路及目标匹配装置
JPH0520450A (ja) 画像処理装置
JPH04329482A (ja) 画像回転処理方法およびその処理装置
JPH011072A (ja) 画像処理装置
JP3877054B2 (ja) 画像縮小変倍装置