JPH03260773A - Lsiの組合せ回路自動合成処理方法 - Google Patents

Lsiの組合せ回路自動合成処理方法

Info

Publication number
JPH03260773A
JPH03260773A JP2059071A JP5907190A JPH03260773A JP H03260773 A JPH03260773 A JP H03260773A JP 2059071 A JP2059071 A JP 2059071A JP 5907190 A JP5907190 A JP 5907190A JP H03260773 A JPH03260773 A JP H03260773A
Authority
JP
Japan
Prior art keywords
circuit
logic
gate
delay time
allowable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2059071A
Other languages
English (en)
Inventor
Yusuke Matsunaga
松永 裕介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2059071A priority Critical patent/JPH03260773A/ja
Priority to US07/666,741 priority patent/US5490268A/en
Publication of JPH03260773A publication Critical patent/JPH03260773A/ja
Priority to US08/468,834 priority patent/US5734917A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [概要] 計算機を用いてLSIの組合せ回路を自動合成するにあ
たって、許容論理を参照しながら回路の構造変更を行い
1回路の動作速度を改善するLSIの組合せ回路自動合
成処理方法に関し自動合成する回路の遅延時間を効率的
に改善し与えられた遅延時間の制約を満たずようにする
ことを目的とし 与えられた回路に対して回路各部が満たさなければなら
ない許容論理を計算する処理過程と、許容論理を参照し
ながら、遅延時間の制約を満たしていない経路上のゲー
トに対して、信号伝播時刻の早いゲートからの接続を追
加し、信号伝播時刻の遅れたゲートからの接続を削除す
る回路の変更を行う処理過程とを含み1回路の遅延時間
の最大値を、与えられた制約値以下に抑えるように構成
する。
〔産業上の利用分野〕
本発明は、計算機を用いてLSIの組合せ回路を自動合
成するにあたって、許容論理を参照しながら回路の構造
変更を行い1回路の動作速度を改善するLSIの組合せ
回路自動合成処理方法に関する。
現在、LSIの組合せ回路を自動で合成することが可能
となりつつあるが、自動的に合成された回路を、熟練技
術者によって設計された回路と比較した場合、使用ゲー
ト数や動作速度等の面で見劣りすることが多い。
そこで、あらかじめ自動合成時に、そのような条件を入
れて設計するか、−度自動合成された回路に対して、遅
延時間を短くするような処理を行って、設計者の要求に
合うような回路を合成する必要がある。
〔従来の技術] 第11図は本発明に関連する一般的な論理合成処理の流
れ、第12図は従来技術の説明図を示す。
計算機を用いて、LSlの組合せ回路を自動合成する場
合9通常、第11図に示すような処理を行う。真理値表
、2段積相形論理式、論理式の多段ネットワークなどを
入力して、まずテクノロジ非依存の合成処理を行う。こ
れにより生成された論理式の多段ネットワークをもとに
、テクノロジ依存の合成処理を行って、ゲートセルの7
ソトリストを出力する。
すなわち、自動合成は、2段論理式等を多段論理式に変
換する多段化処理のステップと、その多段論理式に対応
するような実際の回路に割り当てるテクノロジマツピン
グ処理のステップで行われる。この各々の処理に対して
、遅延時間を考慮した処理が考えられている。
多段化処理においては、最大段数を指定しておき、その
段数より大きくなるような多段化処理を行わない制限を
設ける。これによって、おおまかに回路の遅延時間を指
定することは可能であるが。
多段論理式の段数が、必ずしも実際の回路の遅延時間に
対応しているわけではないので、細かいレヘルでの調整
は行えない。
テクノロジマツピング処理では、変換パターンを利用し
て遅延時間の改善を行う。例えば第12図に示すような
入力および出力が等価な回路(a)。
(b)の変換パターンを用意しておき、第12図に示す
(a)の回路の端子Aから端子Fまでの遅延時間を短く
するために、(a)の回路から(b)の回路への変換を
行う。この変換により、実際の回路の遅延時間に対応し
た細かい調整が可能である。
この手法の欠点は、当然であるが、変換パターンにない
変換は行えないということである。より強力な処理を行
うためには、変換パターンの種類を増やさなければなら
ないが、そうすると1回路に見合う変換を検索する時間
が増大するので、実際上は扱うことのできる変換パター
ンの数に自ずから限界がある。
また、同様の理由により、1つの変換パターンの規模も
、せいぜい数ゲート程度に制限されるので、大局的に回
路変換を行わないと遅延時間が改善されないようなケー
スに対しては、はとんど無力となる。
そこで 従来技術では、この2つの方式の欠点を補うべ
く、多段化処理によって大局的な構造変換を行い、テク
ノロジマツピング処理によって。
細かな調整を行うというアプローチをとっている。
(発明が解決しようとする課題〕 以上のような従来のアプローチでは、2つの異なった処
理を繰り返し行う必要があるため、非常に効率が悪い。
また、2つの処理の連携が必ずしもうまく行かないので
、遅延時間を効果的に短縮できるわけではない。そこで
2回路の実際の遅延時間を考慮し、なおかつ、大局的な
回路構造の変更を行うことが可能な遅延時間の改善処理
が必要となる。
本発明は上記問題点の解決を図り、自動合成する回路の
遅延時間を効率的に改善し、与えられた遅延時間の制約
を満たすようにすることを目的としている。
〔課題を解決するための手段] 第1図は本発明の原理説明図である。
図中、10はCPUおよびメモリなどからなる処理装置
f、11はLSIの組合せ回路について自動合成を行う
自動合成処理部を表す。また、PIP2は本発明に係る
処理過程である。
本発明は、主として自動合成処理におけるテクノロジマ
ツピング処理部分で適用される。
合成された回路の遅延時間を改善するため、自動合成処
理部11は、以下の処理を行う。
回路上で与えられた遅延時間の制約条件に違反するクリ
ティカルバスがあれば、処理過程P1により、与えられ
た回路に対して回路各部が満たさなければならない許容
論理を計算する。
そして、処理過程P2により、遅延時間の制約を満たし
ていない経路上のゲートに対して、許容論理を参照しな
がら、信号伝播時刻の早いゲートからの接続を追加し、
信号伝播時刻の遅れたゲートからの接続を削除する回路
の変更を行う。
これらの処理を繰り返すことにより1回路構造を変更し
9回路の遅延時間の最大値を、与えられた制約値以下に
抑える。
〔作用〕
本発明では1回路の各部において、許容論理と呼ばれる
論理関数を計算し、その許容論理を用いた回路構造の変
更を行う。この許容論理を用いて回路構造の変更を行う
ことは5従来1回路面積を小さくすることなどを目的と
して利用されることはあったが、遅延時間の改善に利用
されることはなかった。本発明では2回路の遅延時間の
改善に。
この許容論理を利用する。
許容論理とは1回路の出力の論理を変化させない範囲で
とり得る論理関数のことで、そこの論理値が出力に伝播
されるときには、実現されている実際の論理値をとり、
伝播されないときには、0でも1でもよいことを示す“
”don’ t care  (*で表す)という(直
をとるものである。
第2図に許容論理の例を示す。第2図に示す回路におい
て、ゲー)aの出力は、’ANDゲー)bに入力してい
るので、ゲートbのもう一方の入力Cが0のときには、
ゲートaの値は、出力dには伝播されない。そこで、そ
のようなときには、ゲー トaの許容論理は*となるの
である。また、入力Cの値が1のときには、ゲー)aの
値が出力dに伝播されるので、許容論理はゲートaの実
際の論理と等しくなる。
この許容論理を利用して、遅延時間を短縮させるような
回路変換を行う。具体的には、入力端子から出力端子に
至る経路のうち、与えられた遅延時間の制約を満たして
いないものを列挙する。次に、これらの経路(クリティ
カルバスと呼ぶ)上のゲートに対して、なるべ(信号の
伝播時刻の早いゲートの出力を新たに追加して、信号の
伝播時刻の遅いゲートの出力を削除するという処理を行
う。これにより、クリティカルバス上の信号伝播時間を
短縮する。
第3図は、その遅延時間改善処理の原理を示しており、
この例では、信号伝播時刻の早いゲートG2を追加し、
信号伝播時刻の遅いゲートGlを削除している。
このゲートに対する新たな入力の追加と、既存の入力の
削除とは、任意に行なえるものではなく回路の論理的な
機能を変更しないように注意しなければならない。そこ
で、そのような機能の等価性を保証するために5前述の
許容論理を用いる。
今、あるゲートに対して、新たな入力の追加を行い、結
果としてそのゲートの実現している論理がFからF に
変わったとする。もし、このF゛が、そのゲートの満た
さなければならない許容論理に含まれていれば、許容論
理の定義により、変更後の回路は、論理的にもとの回路
と等価であることが保証される。入力の削除の場合も同
様である。
このように、許容論理を参照することによって変換パタ
ーンに基づかなくても、論理的な等価性を保ちながら1
回路変換を行うことが可能となる。
〔実施例〕
第4図は本発明の一実施例による許容論理の計算の処理
、第5図は本発明の一実施例によるゲ−トの入力の許容
論理の計算例、第6図は本発明の一実施例を説明するた
めのただ1つのゲートにのみ出力するゲートの例、第7
圓は本発明の一実施例による複数のゲートへ出力するゲ
ートの許容論理の計算例、第8図は本発明の一実施例の
許容論理による回路の変換例、第9図および第10図は
本発明の一実施例に係る遅延改善処理のフローを示す。
許容論理の計算は、第4図に示す処理■〜■のように行
われる。
■ まず1回路の出力に対する許容論理を計算する。
■ 次に、許容論理の計算されたゲートを1つ選ぶ。
■ ゲートの入力の許容論理を計算する。
■ 入力元のゲートの許容論理を計算する。
■ 以上の処理をすべてのゲートの許容論理の計算が終
わるまで繰り返し、すべての計算が終了したならば、許
容論理の計算を終了する。
この計算では、まず出力の許容論理は実際の出力論理と
なる。次に、出力に接続しているゲートの各人力の許容
論理を計算する。以後、説明で論理を表すために、[、
、、、]というベクタ表現を用いる。これは、ベクタの
各要素が入力の組合せに対する論理値に対応したもので
ある。すなわち、入力の回路の場合、2″個の要素を持
つベクタとなる。
例えば、2人力のANDゲートで実現される論理は[0
,0,0,1jとなり、2人力のXORゲートで実現さ
れる論理は[0,1、■、0コとなる。
ゲートの入力の許容論理の計算例を、第5図に従って説
明する。
ゲートの入力aの論理が[0,1,1,0]ゲートのも
う一方の入力すの論理が[0、■、I、1]であり、ゲ
ートの出力Cの許容論理が[0、*、1.0]であるも
のとする。
今、aの許容論理を求めるものとすると ベクタの各要
素ごとに次のように計算を行っていく。
・第1要素 Cの許容論理が0であるが、bの論理が。であり、aの
値に関わらすCの論理は0となり、aの値は伝播されな
い。そこで、aの許容論理は*となる。
第2要素 Cの許容論理が水であるので、aの値はCを通って伝播
されることはない。そこで、aの許容論理は*となる。
・第3要素 Cの許容論理がl、bの論理も1であるのでCに1を伝
えるために、aもlとなる。
第4要素 Cの許容論理力司、bの論理が1であるのでCにOを伝
えるために、aは0となる。
結局、aの許容論理は[*、本、1.0]となる。
次に、今計算したゲートの入力となっているゲートの許
容論理を計算する。
例えば第6図に示すように、ゲートaがただ1つのゲー
トbにのみ出方している場合には、他に伝播しようがな
いので、ゲートaの許容論理は。
ゲートbの入力Cの許容論理番こ等しくなる。
しかし、第7図に示すように、2つ以上のゲートに出力
している場合には、すべての出力光の許容論理の共通部
分をゲートの許容論理とする。
第7図に示す例で2ゲートbの入力dの許容論理が[l
、0、*、*]、ゲートCの入力eの許容論理が[1、
*、水、O]のとき、ゲートaの許容論理は[1、O1
*、O]となる。
以上のように計算された許容論理を用いて ゲートに対
して新たな入力の追加および既存の入力の削除を行う。
第8図は、その新たな入力の追加/既存の入力の削除に
よる回路の変換例を示している。
第8図(イ)に示す回路におけるANDゲー←hの実現
する論理関数は、第8図(ロ)に示すようムこ、  [
0,0,0,0,1,0,O11]であり、その許容論
理は[o、o、o、*−、o、o、1]とする(ゲート
hの出力光に何らかの回路があり、その結果として、こ
のような許容論理が定まっているものとする)。
この場合、ゲートhに新たな入力としてbを加えると、
ゲートhの論理は[0,O,O,O,0,0,0,1コ
となるが、これは許容論理に含まれるので、この入力の
追加により2回路の機能は変化しない。
次に、ゲー)hから人力の削除を行う。まずゲートhか
らゲートdの出力を取り除くと、その論理は[0,Q、
0.1.O,O,O21〕となる。これは許容論理に含
まれるので2回路の機能は変化しない。
同様に、ゲー)gの出力を削除することが可能である。
結果として、第8図(イ)に示す回路は、第8図(ハ)
に示すように変更される。さらに、ゲートhに対して、
新たな人力としてCを加えても論理は変化せず1代わり
にゲートrの出力を削除することができる。
以上により、最終的な回路は、第8図(ニ)に示すよう
になる。図から明らかなように、  b、  cからゲ
ー)hに至る経路が短縮されている。
このような許容論理による遅延改善処理の全体的な流れ
を、第9図および第10図に従って説明する。
まず1回路上で与えられた遅延時間の制約条件に違反す
る経路、すなわちクリティカルパスの検出を行う(第9
図■)。クリティカルバスが存在しなければ、処理を終
わる(第9図■)。
そうでなければ2回路中の全ゲートの実現している論理
関数の計算を行う(第9図■)。この耐算は1人力に近
いゲートから順に行うことができる。この論理関数をも
とに、各ゲートの許容論理を、出力に近いゲートから順
に計算していく(第9図■)。
次に、クリティカルバス上のゲートを出力側から1つず
つ選び、すべてのゲートについて失敗するか、または改
善が成功するまで、第10図に示す処理を行う(第9図
■〜■)。成功したならば処理■へ戻り、同様に処理を
繰り返す。
遅延改善のための追加/削除処理は、第10図に示すよ
うに行う。
まず 注目しているゲートがt肯たさなければならない
信号伝播の時間制約を計算する(第10図■)。これは
、そのゲートから出力方向にクリティカルパスをたどり
、その経路上の信号伝播に要する時間を2時間制約から
引いたものである。
次に、注目ゲートの時間制約よりも早く信号が到達する
ゲートのうち、その出力が注目ゲートに追加可能なもの
を選ぶ(第10図@)。追加可能かどうかは前述の許容
論理を用いて判断する。1つも追加できないときは、制
御を戻して次のゲートを選ぶ。追加可能なものがあれば
、それらを注目ゲートに追加する(第10図■)。
追加できた場合には、信号の到達時間の遅いゲートの出
力の削除を試みる(第10図0)。削除可能かどうかも
許容論理を用いて判断する。
注目ゲートの時間制約より遅れて信号の到達するゲート
の出力がすべて削除された場合には、この注目ゲートを
含むクリティカルパスの遅延時間が全体の制約時間以下
になるので、第9図に示す呼び出し元へ成功を通知する
。そうでなければ呼び出し元へ失敗を通知し、第9図に
示す■により1次のゲートを選んで処理する。
〔発明の効果〕
以上説明したように1本発明によれば、変換パターンに
よらずに1回路を大局的に変更することによって、最大
遅延時間の改善を行うことが可能となる。また、−度5
論理式の形に戻して変更を行うのではなく、実際に用い
るゲートのままで回路の変更を行うので、ゲートの特性
等を考慮した細かな調整が可能である。
【図面の簡単な説明】
第1図は本発明の原理説明図 第2図は許容論理の例 第3図は遅延時間改善処理の原理間 第4図は本発明の一実施例による許容論理の計算の処理
。 第5図は本発明の一実施例によるゲートの入力の許容論
理の計算例 第6図は本発明の一実施例を説明するためのただ1つの
ゲートにのみ出力するゲートの例。 第7図は本発明の一実施例による複数のゲートへ出力す
るゲートの許容論理の計算例。 第8図は本発明の一実施例の許容論理による回路の変換
例。 第9図および第10図は本発明の一実施例に係る遅延改
善処理のフロー 第11図は本発明に関連する一般的な論理合成処理の流
れ 第12図は従来技術の説明図を示す。 図中、10は処理装置、11は自動合成処理部。 PI、P2は本発明に係る処理過程を表す。

Claims (1)

  1. 【特許請求の範囲】 計算機を用いてLSIの組合せ回路を自動合成するLS
    Iの組合せ回路自動合成処理方法において、 与えられた回路に対して回路各部が満たさなければなら
    ない許容論理を計算する処理過程(P1)と、許容論理
    を参照しながら、遅延時間の制約を満たしていない経路
    上のゲートに対して、信号伝播時刻の早いゲートからの
    接続を追加し、信号伝播時刻の遅れたゲートからの接続
    を削除する回路の変更を行う処理過程(P2)とを含み
    、 回路の遅延時間の最大値を、与えられた制約値以下に抑
    えるようにしたことを特徴とするLSIの組合せ回路自
    動合成処理方法。
JP2059071A 1990-03-09 1990-03-09 Lsiの組合せ回路自動合成処理方法 Pending JPH03260773A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2059071A JPH03260773A (ja) 1990-03-09 1990-03-09 Lsiの組合せ回路自動合成処理方法
US07/666,741 US5490268A (en) 1990-03-09 1991-03-08 Method for changing an arrangement of an initial combinational circuit to satisfy prescribed delay time by computing permissible functions of output gates and remaining gates
US08/468,834 US5734917A (en) 1990-03-09 1995-06-06 System for producing combination circuit to satisfy prescribed delay time by deleting selected path gate and allowing to perform the permissible function for initial circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2059071A JPH03260773A (ja) 1990-03-09 1990-03-09 Lsiの組合せ回路自動合成処理方法

Publications (1)

Publication Number Publication Date
JPH03260773A true JPH03260773A (ja) 1991-11-20

Family

ID=13102755

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2059071A Pending JPH03260773A (ja) 1990-03-09 1990-03-09 Lsiの組合せ回路自動合成処理方法

Country Status (2)

Country Link
US (2) US5490268A (ja)
JP (1) JPH03260773A (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6272668B1 (en) 1994-12-14 2001-08-07 Hyundai Electronics America, Inc. Method for cell swapping to improve pre-layout to post-layout timing
US5719783A (en) * 1996-02-07 1998-02-17 Unisys Corporation Method and apparatus for performing timing analysis on a circuit design
US5726903A (en) * 1996-02-07 1998-03-10 Unisys Corporation Method and apparatus for resolving conflicts between cell substitution recommendations provided by a drive strength adjust tool
US5724250A (en) * 1996-02-07 1998-03-03 Unisys Corporation Method and apparatus for performing drive strength adjust optimization in a circuit design
US5956256A (en) * 1996-11-19 1999-09-21 Unisys Corporation Method and apparatus for optimizing a circuit design having multi-paths therein
US5960184A (en) * 1996-11-19 1999-09-28 Unisys Corporation Method and apparatus for providing optimization parameters to a logic optimizer tool
US6026220A (en) * 1996-11-19 2000-02-15 Unisys Corporation Method and apparatus for incremntally optimizing a circuit design
US5864487A (en) * 1996-11-19 1999-01-26 Unisys Corporation Method and apparatus for identifying gated clocks within a circuit design using a standard optimization tool
US5980092A (en) * 1996-11-19 1999-11-09 Unisys Corporation Method and apparatus for optimizing a gated clock structure using a standard optimization tool
US5802075A (en) * 1997-01-16 1998-09-01 Unisys Corporation Distributed test pattern generation
US5912820A (en) * 1997-01-22 1999-06-15 Unisys Corporation Method and apparatus for distributing a clock tree within a hierarchical circuit design
US6910200B1 (en) 1997-01-27 2005-06-21 Unisys Corporation Method and apparatus for associating selected circuit instances and for performing a group operation thereon
US6718520B1 (en) 1997-01-27 2004-04-06 Unisys Corporation Method and apparatus for selectively providing hierarchy to a circuit design
US6516456B1 (en) 1997-01-27 2003-02-04 Unisys Corporation Method and apparatus for selectively viewing nets within a database editor tool
US6708144B1 (en) 1997-01-27 2004-03-16 Unisys Corporation Spreadsheet driven I/O buffer synthesis process
US6754879B1 (en) 1997-01-27 2004-06-22 Unisys Corporation Method and apparatus for providing modularity to a behavioral description of a circuit design
US6684376B1 (en) 1997-01-27 2004-01-27 Unisys Corporation Method and apparatus for selecting components within a circuit design database
US7076410B1 (en) 1997-01-27 2006-07-11 Unisys Corporation Method and apparatus for efficiently viewing a number of selected components using a database editor tool
US6701289B1 (en) 1997-01-27 2004-03-02 Unisys Corporation Method and apparatus for using a placement tool to manipulate cell substitution lists
US6453446B1 (en) * 1997-12-24 2002-09-17 Magma Design Automation, Inc. Timing closure methodology
US6449761B1 (en) * 1998-03-10 2002-09-10 Monterey Design Systems, Inc. Method and apparatus for providing multiple electronic design solutions
US6446239B1 (en) 1998-03-10 2002-09-03 Monterey Design Systems, Inc. Method and apparatus for optimizing electronic design
JP2000194735A (ja) * 1998-12-25 2000-07-14 Fujitsu Ltd 自動配置改善方法及び装置、記録媒体並びに半導体装置製造方法
US6546532B1 (en) 2000-06-20 2003-04-08 Unisys Corporation Method and apparatus for traversing and placing cells using a placement tool
US6889370B1 (en) * 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8051347B2 (en) * 2009-07-16 2011-11-01 Texas Instruments Incorporated Scan-enabled method and system for testing a system-on-chip

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263651A (en) * 1979-05-21 1981-04-21 International Business Machines Corporation Method for determining the characteristics of a logic block graph diagram to provide an indication of path delays between the blocks
US4698760A (en) * 1985-06-06 1987-10-06 International Business Machines Method of optimizing signal timing delays and power consumption in LSI circuits
JPS62189739A (ja) * 1986-02-17 1987-08-19 Hitachi Ltd 半導体集積回路装置
US4876501A (en) * 1987-04-13 1989-10-24 Prime Computer, Inc. Method and apparatus for high accuracy measurment of VLSI components
US4916627A (en) * 1987-12-02 1990-04-10 International Business Machines Corporation Logic path length reduction using boolean minimization
JPH01163840A (ja) * 1987-12-21 1989-06-28 Nec Corp 遅延時間チエック方式
US4924430A (en) * 1988-01-28 1990-05-08 Teradyne, Inc. Static timing analysis of semiconductor digital circuits
US4954953A (en) * 1988-04-07 1990-09-04 Vlsi Technology, Inc. Machine process for converting one representation of an electronic integrated circuit into another representation
US4940908A (en) * 1989-04-27 1990-07-10 Advanced Micro Devices, Inc. Method and apparatus for reducing critical speed path delays
US5043986A (en) * 1989-05-18 1991-08-27 At&T Bell Laboratories Method and integrated circuit adapted for partial scan testability
US5095454A (en) * 1989-05-25 1992-03-10 Gateway Design Automation Corporation Method and apparatus for verifying timing during simulation of digital circuits

Also Published As

Publication number Publication date
US5734917A (en) 1998-03-31
US5490268A (en) 1996-02-06

Similar Documents

Publication Publication Date Title
JPH03260773A (ja) Lsiの組合せ回路自動合成処理方法
JP3026979B2 (ja) シリコン コンパイラ方法および装置
JP3175322B2 (ja) 論理自動生成方法
WO1996034351A1 (fr) Procede de conception de circuits integres a semi-conducteurs et appareil de conception automatique
JP2601168B2 (ja) 順次回路をリタイミングする方法および再設計する方法
Branover et al. Asynchronous design by conversion: Converting synchronous circuits into asynchronous ones
US5473547A (en) Logic synthesizer for engineering changes
JPH08212246A (ja) 論理生成方法
Chen et al. Timing optimization of logic network using gate duplication
US6373288B1 (en) Method of implementing clock trees in synchronous digital electronic circuits, and a programmable delay buffer stage therefor
JP3625973B2 (ja) 論理回路、論理回路の合成方法、半導体装置の製造方法及び半導体集積回路装置
JP3004589B2 (ja) パストランジスタ論理設計方法
JPH04153780A (ja) 論理回路の合成方法
JP3071882B2 (ja) 論理回路合成装置
JPH07249062A (ja) 論理回路の生成方法
JP2737490B2 (ja) 論理回路最適化処理方法
JP2560990B2 (ja) 論理回路最小化装置
JP3251250B2 (ja) クロックスキュー低減方法及びクロックスキュー低減方法を記録したコンピュータ読み取り可能な記録媒体
JPH03131976A (ja) 論理回路の製造方法
JPH057747B2 (ja)
JPH0451367A (ja) 論理回路の合成装置
JPH04105412A (ja) フリップフロップ
JPH06149928A (ja) 論理変換装置および論理変換方法
JPH11143575A (ja) クロックツリーレイアウト装置
JP2005107575A (ja) 大規模集積回路の設計方法