JP7476169B2 - 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム - Google Patents

静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム Download PDF

Info

Publication number
JP7476169B2
JP7476169B2 JP2021505710A JP2021505710A JP7476169B2 JP 7476169 B2 JP7476169 B2 JP 7476169B2 JP 2021505710 A JP2021505710 A JP 2021505710A JP 2021505710 A JP2021505710 A JP 2021505710A JP 7476169 B2 JP7476169 B2 JP 7476169B2
Authority
JP
Japan
Prior art keywords
electrode
impedance
tuning circuit
substrate
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021505710A
Other languages
English (en)
Other versions
JP2021533541A (ja
Inventor
フレンチ・デビッド
バルクアート・ヴィンセント・イー.
リーサー・カール・フレデリック
メン・リャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021533541A publication Critical patent/JP2021533541A/ja
Priority to JP2024035429A priority Critical patent/JP2024056071A/ja
Application granted granted Critical
Publication of JP7476169B2 publication Critical patent/JP7476169B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • H03H7/40Automatic matching of load impedance to source impedance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Description

[関連出願への相互参照]
本願は、2018年8月2日出願の米国特許出願第16/052,877号に基づく優先権を主張する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。
本開示は、静電引力を用いる電気保持装置に関し、特に、電気保持装置のクランプ電極および高周波(RF)電極のためのチューニング回路に関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板のエッチング、蒸着、および/または、その他の処理を実行するために、基板処理システムが利用されうる。基板に実行されうる処理の例は、プラズマ強化化学蒸着(PECVD)処理、物理蒸着(PVD)処理、イオン注入処理、ならびに/もしくは、その他のエッチング、蒸着、および、洗浄処理を含むが、これらに限定されない。一例として、エッチング処理中に、基板は、基板処理システム内の静電チャック(ESC)上に配置されてよく、基板上の薄膜がエッチングされる。
処理チャンバ内で基板を処理するための基板処理システムが提供されている。基板処理システムは、電源端子と、基板支持体と、チューニング回路と、を備える。基板支持体は、基板を保持するよう構成されている。基板支持体は、電極を備える。電極は、第1電極および第2電極を含む。第1電極および第2電極は、電源端子を介して第1電源から電力を受信する。第1チューニング回路は、第1電極および第2電極の少なくとも一方に接続されている。第1チューニング回路は、第1電極へ供給される1または複数の信号を調整することに割り当てられている。第1チューニング回路は、第1インピーダンスセットまたは第2インピーダンスセットの少なくとも一方を備える。第1インピーダンスセットは、第1電極と第1電源との間に直列接続されている。第1インピーダンスセットは、電源端子を介して第1電源から第1信号を受信する。1または複数の信号は、第1信号を含む。第2インピーダンスセットは、第1電源の出力と基準端子との間に接続されている。第2インピーダンスセットは、電源端子を介して第1電源から第1信号を受信する。
別の特徴において、第1チューニング回路は、第1インピーダンスセットおよび第2インピーダンスセットを備える。別の特徴において、基板処理システムは、さらに、第1インピーダンスセットのインピーダンスの値および第2インピーダンスセットのインピーダンスの値を調節するよう構成されたシステムコントローラを備える。
別の特徴において、第1チューニング回路は、第1電極へ供給される1または複数の信号の電圧、電流レベル、位相、電力レベル、および/または、周波数を調節する。別の特徴において、第1チューニング回路は、第1インピーダンスセットおよび第2インピーダンスセットを備える。第2インピーダンスセットは、第1インピーダンスセットと基準端子との間に接続されている。
別の特徴において、第1電源は、整合回路網を備える。整合回路網は、第1電源と電源端子との間に接続されている。第1チューニング回路は、電源端子と第1電極との間に接続されている。
別の特徴において、第1チューニング回路は、整合回路網の中に含まれていない。別の特徴において、第1電源と第1チューニング回路との間に、整合回路網が接続されていない。
別の特徴において、基板処理システムは、さらに、第2チューニング回路を備える。第1チューニング回路は、第1電源から第1電極へ供給される第1信号の電圧、電流レベル、位相、電力レベル、または、周波数を調節するよう構成されている。第2チューニング回路は、第1電源から第2電極へ供給される第1信号の電圧、電流レベル、位相、電力レベル、または、周波数を調節するよう構成されている。1または複数の信号は、第1信号を含む。
別の特徴において、基板処理システムは、さらに、第2電源と、第2チューニング回路と、を備える。第1チューニング回路は、第1電源から第1電極へ供給される第1信号の電圧、電流レベル、位相、電力レベル、または、周波数を調節するよう構成されている。第2チューニング回路は、第2電源から第2電極へ供給される第2信号の電圧、電流レベル、位相、電力レベル、または、周波数を調節するよう構成されている。1または複数の信号は、第1信号および第2信号を含む。
別の特徴において、基板支持体の電極は、同心に配置されている。
別の特徴において、基板処理システムは、さらに、第2チューニング回路と、第3チューニング回路と、を備える。電極は、第3電極を含む。第1チューニング回路は、第1電極に接続され、第1電極で受信される前に第1信号を変調するよう構成されている。第2チューニング回路は、第2電極に接続され、第2電極で受信される前に第1信号または第2信号を変調するよう構成されている。第3チューニング回路は、第3電極に接続され、第3電極で受信される前に第1信号または第3信号を変調するよう構成されている。別の特徴において、第1電極、第2電極、および、第3電極は、同心に配置されている。
別の特徴において、基板支持体は、静電チャックである。第1電極および第2電極は、クランプ電極であり、基板を基板支持体にクランプするためにクランプ電圧を受信するよう構成されている。第3電極は、バイアス電極であり、バイアス電圧を受信するよう構成されている。第3信号は、第3チューニング回路によって第3電源から受信される。
別の特徴において、基板支持体は、静電チャックである。第1電極は、クランプ電極である。第2電極および第3電極は、バイアス電極である。第2信号は、第2チューニング回路によって第2電源から受信される。
別の特徴において、基板支持体は、静電チャックである。第1電極は、クランプ電極である。第2電極は、クランプ電極である。電極は、電極リングを含む。第1チューニング回路は、第1インピーダンスセット、第3インピーダンスセット、および、第4インピーダンスセットを備える。第1インピーダンスセットは、第1クランプ電極と第1電源との間に接続された第1インダクタおよび第1キャパシタを備える。第3インピーダンスセットは、電極リングと第1電源との間に接続された第2インダクタおよび第2キャパシタを備える。第4インピーダンスセットは、第2クランプ電極と第1電源との間に接続された第3インダクタおよび第3キャパシタを備える。
別の特徴において、基板支持体は、静電チャックである。第1電極は、クランプ電極である。第2電極は、クランプ電極である。電極は、電極リングを含む。第1チューニング回路は、第2インピーダンスセット、第3インピーダンスセット、および、第4インピーダンスセットを備える。第2インピーダンスセットは、第1電極端子と基準端子との間に並列接続された第1インダクタおよび第1キャパシタを備え、第1電極端子は、第1クランプ電極と第1電源との間に接続されている。第3インピーダンスセットは、第2電極端子と基準端子との間に並列接続された第2インダクタおよび第2キャパシタを備える。第2電極端子は、電極リングと第1電源との間に接続されている。第4インピーダンスセットは、第3電極端子と基準端子との間に並列接続された第3インダクタおよび第3キャパシタを備える。第3電極端子は、第2クランプ電極と第1電源との間に接続されている。
別の特徴において、基板支持体は、静電チャックである。第1電極は、クランプ電極である。第2電極は、クランプ電極である。電極は、電極リングを含む。第1チューニング回路は、第1インピーダンスセット、第2インピーダンスセット、第3インピーダンスセット、第4インピーダンスセット、第5インピーダンスセット、および、第6インピーダンスセットを備える。第1インピーダンスセットは、第1クランプ電極と第1電源との間に接続された第1インダクタおよび第1キャパシタを備える。第3インピーダンスセットは、電極リングと第1電源との間に接続された第2インダクタおよび第2キャパシタを備える。第4インピーダンスセットは、第2クランプ電極と第1電源との間に接続された第3インダクタおよび第3キャパシタを備える。第2インピーダンスセットは、第1電極端子と基準端子との間に並列接続された第4インダクタおよび第4キャパシタを備える。第1電極端子は、第1クランプ電極と第1電源との間に接続されている。第5インピーダンスセットは、第2電極端子と基準端子との間に並列接続された第5インダクタおよび第5キャパシタを備える。第2電極端子は、電極リングと第1電源との間に接続されている。第6インピーダンスセットは、第3電極端子と基準端子との間に並列接続された第6インダクタおよび第6キャパシタを備える。第3電極端子は、第2クランプ電極と第1電源との間に接続されている。
別の特徴において、基板処理システムは、さらに、第1端子、前第2端子、および、第3端子に接続された第2電源を備える。
別の特徴において、基板処理システムは、さらに、第2チューニング回路を備える。基板支持体は、静電チャックである。第1電極は、第1クランプ電極である。第2電極は、第2クランプ電極である。電極は、電極リングを含む。第1チューニング回路は、第1インピーダンスセット、第3インピーダンスセット、および、第4インピーダンスセットを備える。第2チューニング回路は、第2インピーダンスセット、第5インピーダンスセット、および、第6インピーダンスセットを備える。第1インピーダンスセットは、第1クランプ電極と第1電源との間に接続された第1インダクタおよび第1キャパシタを備える。第3インピーダンスセットは、電極リングと第2電源との間に接続された第2インダクタおよび第2キャパシタを備える。第4インピーダンスセットは、第2クランプ電極と第1電源との間に接続された第3インダクタおよび第3キャパシタを備える。第2インピーダンスセットは、第1電極端子と基準端子との間に並列接続された第4インダクタおよび第4キャパシタを備える。第1電極端子は、第1クランプ電極と第1電源との間に接続されている。第5インピーダンスセットは、第2電極端子と基準端子との間に並列接続された第5インダクタおよび第5キャパシタを備える。第2電極端子は、電極リングと第2電源との間に接続されている。第6インピーダンスセットは、第3電極端子と基準端子との間に並列接続された第6インダクタおよび第6キャパシタを備える。第3電極端子は、第2クランプ電極と第1電源との間に接続されている。
別の特徴において、電源端子と電極との間に、整合回路網が接続されていない。別の特徴において、第1電源からの電力が、電力の一部を電極にそれぞれ供給するために分割される。別の特徴において、第1インピーダンスセットおよび第2インピーダンスセットは、可変インダクタンスを備える。
別の特徴において、基板処理システムは、さらに、処理チャンバと、第1電源と、コントローラと、を備える。コントローラは、第1インピーダンスセットおよび第2インピーダンスセットのインピーダンスを調節するよう構成されている。
別の特徴において、処理チャンバ内で基板を処理するための基板処理システムが提供されている。基板処理システムは、基板支持体と、第1インピーダンスと、第2インピーダンスと、を備える。基板支持体は、基板を保持するよう構成されており、基板支持体は、電極を備える。電極は、第1電極、第2電極、および、第3電極を含む。第1インピーダンスは、第1電極と第3電極との間に接続されている。第2インピーダンスは、第2電極と第3電極との間に接続されている。第1インピーダンスは、(i)第1電極と第2インピーダンスとの間、かつ、(ii)第1電源と第2インピーダンスとの間、に接続されている。第2インピーダンスは、(i)第2電極と第1インピーダンスとの間、かつ、(ii)第2電源と第1インピーダンスと間、に接続されている。第1インピーダンスおよび第2インピーダンスは、(i)第1電源によって第1電極へ供給される第1信号、および、(ii)第2電源によって第2電極へ供給される第2信号、を調整することに割り当てられている。
別の特徴において、第1インピーダンスは、第2インピーダンスと直列接続されている。別の特徴において、第1インピーダンスは、第1電極と第3電源との間に接続されている。第2インピーダンスは、第2電極と第3電源との間に接続されている。別の特徴において、第3電源は、第3電極に接続されている。
別の特徴において、基板処理システムは、さらに、第3インピーダンスと、第4インピーダンスと、を備える。第3インピーダンスは、(i)第1電極と第3電極との間、(ii)第1電極と第4インピーダンスとの間、かつ、(iii)第1電源と第4インピーダンスと間、に接続されている。第4インピーダンスは、(i)第2電極と第3電極との間、(ii)第2電極と第3インピーダンスとの間、かつ、(iii)第2電源と第3インピーダンスと間、に接続されている。第3インピーダンスおよび第4インピーダンスは、(i)第1電源によって第1電極へ供給される第1信号、および、(ii)第2電源によって第2電極へ供給される第2信号、を調整することに割り当てられている。
別の特徴において、第1インピーダンスおよび第3インピーダンスは、第1電極と第3電源との間に並列接続されている。第2インピーダンスおよび第4インピーダンスは、第2電極と第3電源との間に並列接続されている。別の特徴において、第3電源は、第3電極に接続されている。別の特徴において、第1インピーダンスセットおよび第2インピーダンスセットは、可変インダクタンスを備える。
別の特徴において、基板処理システムは、さらに、処理チャンバと、第1電源と、コントローラと、を備える。コントローラは、第1インピーダンスセットおよび第2インピーダンスセットのインピーダンスを調節するよう構成されている。
別の特徴において、基板処理システムを動作させる方法が提供されている。その方法は、処理を選択する工程と、選択された処理のためのシステム動作パラメータを含むレシピを決定する工程と、アクチュエータを制御して、システム動作パラメータを設定する工程と、選択された処理およびシステム動作パラメータに基づいて、第1チューニング回路のインピーダンス値を設定する工程と、を備える。第1チューニング回路は、基板支持体内の第1電極に接続されている。第1チューニング回路は、第1電極へ供給される信号を調整することに割り当てられている。第1チューニング回路は、第1電極と第1電源との間に直列接続された第1インピーダンスセットであって、第1インピーダンスセットは、第1電源から第1信号を受信し、1または複数の信号は、第1信号を含む、第1インピーダンスセット、または、第1電源の出力と基準端子との間に接続された第2インピーダンスセット、の内の少なくとも一方を備える。第2インピーダンスセットは、第1電源から第1信号を受信する。方法は、さらに、処理チャンバ内の基板支持体上に基板を配置する工程と、第1電源から基板支持体内の電極へ電力を供給する動作を含む、選択された処理のための処理動作を実行する工程と、を備える。電極は、第1電極および第2電極を含む。第1電極および第2電極は、電源端子を介して第1電源から電力を受信する。
別の特徴において、方法は、さらに、処理動作を実行する間に、第1チューニング回路のインピーダンス値を調節する工程を備える。別の特徴において、方法は、さらに、処理動作を実行する間に、センサ出力データを収集する工程と、センサ出力データに基づいて、1または複数のパラメータを決定する工程と、1または複数のパラメータに基づいて、第1チューニング回路のインピーダンス値を調節する工程と、を備える。
別の特徴において、方法は、さらに、処理チャンバの特徴または特性を決定する工程と、特徴または特性に基づいて、第1チューニング回路のインピーダンス値を設定する工程と、を備える。
別の特徴において、方法は、さらに、基板支持体の特徴または特性を決定する工程と、特徴または特性に基づいて、第1チューニング回路のインピーダンス値を設定する工程と、を備える。
別の特徴において、方法は、さらに、特性の変化に基づいて、第1インピーダンスセットまたは第2インピーダンスセットの少なくとも一方のインピーダンスを調節して、それぞれの軌跡に従わせる工程を備える。別の特徴において、方法は、さらに、特徴、特性、基板、基板支持体、または、処理チャンバの1または複数の他の特徴、ならびに、基板、基板支持体、または、処理チャンバの1または複数の他の特性、の内の少なくとも1つに基づいて、軌跡を計算または決定する工程を備える。
別の特徴において、方法は、さらに、基板の特徴または特性を決定する工程と、特徴または特性に基づいて、第1チューニング回路のインピーダンス値を設定する工程と、を備える。
別の特徴において、方法は、さらに、基板を基板支持体にクランプするために第1電源によって第1電極へクランプ電圧を供給する工程と、バイアス電圧を第2電極へ供給する工程と、第1チューニング回路または第2チューニング回路によってクランプ電圧およびバイアス電圧を調整する工程と、を備える。基板支持体は、静電チャックである。別の特徴において、第1チューニング回路は、第1インピーダンスおよび第2インピーダンスを備える。
別の特徴において、方法は、さらに、第1チューニング回路のインピーダンスの値を調節して、第1電極へ供給されるクランプ電圧を調整する工程と、第2チューニング回路のインピーダンスの値を調節して、第2電極へ供給されるバイアス電圧を調整する工程と、を備える。基板支持体は、静電チャックである。別の特徴において、方法は、さらに、第1チューニング回路のインピーダンス値を調節することにより、基板支持体の表面の上方の点および表面に沿った点のそれぞれのペアでのプラズマの電位差を調節する工程を備える。
別の特徴において、方法は、さらに、第1チューニング回路のインピーダンス値に基づいて、バイアス高周波整合回路網におけるインピーダンス値を調節する工程を備える。バイアス高周波整合回路網は、電源と第1チューニング回路との間に接続されている。
別の特徴において、処理チャンバ内で基板を処理するための基板処理システムが提供されている。基板処理システムは、電源端子と、基板支持体と、第1チューニング回路と、第2チューニング回路と、を備える。基板支持体は、基板を保持するよう構成されている。基板支持体は、電極を備える。電極は、第1電極および第2電極を含む。第1チューニング回路は、第1電極に接続され、第1電極のインピーダンスを調整することに割り当てられている。第1チューニング回路は、第1電極および接地に接続された第1インピーダンスセットを備える。第2チューニング回路は、第2電極に接続され、第2電極のインピーダンスを調整することに割り当てられている。第2チューニング回路は、第2電極および接地に接続された第2インピーダンスセットを備える。
別の特徴において、第1チューニング回路は、第1電極と接地との間に直列接続されている。別の特徴において、第1チューニング回路は、インダクタおよびキャパシタを備える。別の特徴において、第2チューニング回路は、第2電極と接地との間に直列接続されている。別の特徴において、第2チューニング回路は、インダクタおよびキャパシタを備える。別の特徴において、第1チューニング回路は、第1電極と第2電極との間に接続されている。第1電極および第2電極は、接地に接続されている。
別の特徴において、基板処理システムは、さらに、接地に接続された第3電極と、第2電極と第3電極との間に接続された第3チューニング回路と、を備える。別の特徴において、第1チューニング回路は、第1インダクタおよび第1キャパシタを備える。第2チューニング回路は、第2インダクタおよび第2キャパシタを備える。
別の特徴において、第1チューニング回路および第2チューニング回路は、可変インダクタンスを備える。別の特徴において、基板処理システムは、さらに、処理チャンバと、第1インピーダンスセットおよび第2インピーダンスセットのインピーダンスを調節するよう構成されたコントローラと、を備える。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示の一実施形態に従って、電極を備えたESCと電極に対応するチューニング回路とを組み込んだ基板処理システムの一例を示す機能ブロック図。
本開示の一実施形態に従って、クランプ電極およびバイアス電極のためのチューニング回路を備えた容量結合回路の一例を示す機能ブロック図。
本開示の一実施形態に従って、2つのクランプ電極およびバイアス電極のためのチューニング回路を備えた容量結合回路の一例を示す機能ブロック図。
本開示の一実施形態に従って、クランプ電極および2つのバイアス電極のためのチューニング回路を備えた容量結合回路の一例を示す機能ブロック図。
本開示の一実施形態に従って、クランプ電極および3つのバイアス電極のためのチューニング回路を備えた容量結合回路の一例を示す機能ブロック図。
本開示の一実施形態に従って、クランプ電極およびバイアス電極のためのチューニング回路の一例を示す機能ブロック図。
本開示の一実施形態に従って、単一のRF電源に接続され、2つのクランプ電極およびバイアス電極リングのための直列接続されたインダクタおよびキャパシタを備えたチューニング回路の一例を示す機能ブロック概略図。
本開示の一実施形態に従って、単一のRF電源に接続され、2つのクランプ電極およびバイアス電極リングのためのシャント接続されたインダクタおよびキャパシタを備えたチューニング回路の一例を示す機能ブロック概略図。
本開示の一実施形態に従って、デュアルRF電源に接続され、2つのクランプ電極およびバイアス電極リングのための直列接続されたインダクタおよびキャパシタならびにシャント接続されたインダクタおよびキャパシタを備えたチューニング回路の一例を示す機能ブロック概略図。
本開示の一実施形態に従って、それぞれのRF電源に接続され、2つのクランプ電極およびバイアス電極リングのための直列接続されたインダクタおよびキャパシタもしくはシャント接続されたインダクタおよびキャパシタを備えた2つのチューニング回路の一例を示す機能ブロック概略図。
本開示の一実施形態に従って、2つのクランプ電極およびバイアス電極リングのための並列接続されたキャパシタおよびインダクタを備えたチューニング回路の一例を示す機能ブロック概略図。
本開示の一実施形態に従って、静電チャックの電極のチューニング回路のためのインピーダンス値の設定および調節を含む、基板処理システムを動作させる方法を示す図。
本開示の一実施形態に従って、外側リング電極と2つの内側電極とを備えた基板支持体の一例を示す図。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
容量結合プラズマ(CCP)システムにおいて、基板処理のために供給されるプラズマ(例えば、エッチングまたは蒸着処理中に供給されるプラズマ)を生成および維持するために、RF電圧信号が、処理チャンバ内のシャワーヘッドおよび/または基板支持体(例えば、静電チャックまたはペデスタル)に供給されうる。一例として、基板支持体は、RF電圧を受信するための複数の電極を備えうる。電極は、それぞれのジオメトリを有しており、そのため、異なるサイズおよび形状を有し、基板支持体内の異なる位置に配置されうる。
本明細書で説明する例は、基板支持体の電極に供給されるRF電圧を制御するためのチューニング回路を含む。チューニング回路は、実行されている基板処理のために調整されうる可変インピーダンスおよび/または固定インピーダンスを備える。電極に供給されるRF電圧および対応する電流は、生成されるプラズマの態様を変化させるために制御されうる。処理中、基板が、基板支持体上に配置され、基板の1または複数の層(例えば、膜層)が、例えば、エッチングまたは蒸着されうる。異なる電極に供給されるRF電圧を調整することにより、1または複数の層のパラメータが、電極の位置に従ってウエハにわたって空間的に変更および/または調整されうる。例として、1または複数の層のパラメータは、均一性の値、応力値、屈折率、エッチング速度、蒸着速度、厚さの値、および/または、測定量であるその他の固有特性値を含みうる。
RF電力が、1または複数のRF電源から供給されるものとして開示されている。一実施形態において、RF電力は、単一のRF電源から共通ノードRF電力を給電することによって供給される。次いで、RF電力は、それぞれの経路を介して共通ノードから基板支持体の異なる電極へ供給される。経路は、チューニング回路および/またはインピーダンスを備え、それらは、対応するRF電圧、電流レベル、位相、および/または、周波数成分を変化させる。インピーダンスは、直列接続またはシャント接続されたインピーダンスを含んでよい。複数の電源、複数のノード、および、様々な経路を備えたその他の実施形態が、本明細書で開示されている。
基板支持体内の電極に供給されるRF電圧および電流レベルは、電極のサイズ、形状、および、パターンを調節することによって変更されてもよい。例えば、環状および/または円形の電極からプラズマへ供給されるRF電圧および電流量、環状および/または円形の電極を用いて実行される基板処理、ならびに/もしくは、結果として得られる基板特性が、電極の半径を変えることによって変更および/または調整されうる。
基板処理システムは、自由度を提供し、基板処理中に基板の層の結果としての態様を制御するために設定および/または調節されうる複数の特徴、特性、および/または、パラメータを有しうる。例えば、RF電力レベル、チャンバ形状、フォーカスリングの利用、シャワーヘッドの穴パターン、シャワーヘッド形状、電極パターン、ガス圧、ガス組成などが、目標とする層の組成およびプロファイルを有する結果としての基板を提供するために、設定および/または制御されてよい。
開示されている例は、基板の1または複数の層のプロファイルを調整するための別の自由度を提供する。その自由度は、チューニング回路のインピーダンスの設定および/または調節(例えば、キャパシタンス、インダクタンス、リアクタンス、抵抗、レイアウトなどの選択、変更、および/または、制御)によって提供される。プロファイルは、1または複数の層の上述したパラメータを指す。
基板の半径方向プロファイルが、例えば、基板の周縁部の近くの金属または誘電体環状要素を変更することによって、変更されてよい。これは、ガス圧、ガス流量、ガス組成、RF放電出力、基板支持体の電極に供給されるRF信号の周波数、および/または、その他のパラメータなどのパラメータを調節することを含みうる。目標の層の特徴(例えば、周縁部での特定の層の厚さまたは形状)を提供するために特定の位置でこれらのパラメータを変更すると、同じ位置および/またはその他の位置において、他のパラメータを変える、および/または、他の特徴に影響する可能性がある。したがって、これらのパラメータは、特定の特徴を独立的に調節しない。別の例において、基板の周縁部が、基板の周縁部の外側に配置されたフォーカスリングを用いることによって変更されてもよい。しかしながら、フォーカスリングの利用は、基板の中心におけるガスの流量に影響しうるため、基板の中心での処理ひいては結果に影響しうる。他の層の特徴の例は、特定のトレンチ深さまたは幅、トレンチ間の距離、導電要素間の距離、層の組成などである。
基板の1または複数の層のプロファイルの調整を設定および制御する際にパラメータおよび自由度が多いほど、他のフィーチャに悪影響を与えることなしに特定のフィーチャを提供できる可能性が高くなる。また、パラメータおよび自由度の数が多くなると、形成できるフィーチャの数、組成、および、レイアウト(またはパターン)が増加する。本明細書で開示されている例は、基板層設計の柔軟性および位置に固有の設計選択性を高め、基板処理システムが多様なフィーチャを提供することを可能にする。
図1は、ESC101を組み込んだ基板処理システム100を示す。ESC101は、本明細書で開示されているESCのいずれかと同一または同様に構成されてよい。図1は、容量結合プラズマ(CCP)システムを示しているが、本明細書に開示する実施形態は、基板支持体を備えたトランス結合プラズマ(TCP)システム、電子サイクロトロン共鳴(ECR)プラズマシステム、誘導結合プラズマ(ICP)システム、ならびに/もしくは、その他のシステムおよびプラズマ源に適用可能である。実施形態は、PVD処理、PECVD処理、化学強化プラズマ蒸着(CEPVD)処理、イオン注入処理、プラズマエッチング処理、ならびに/もしくは、その他のエッチング、蒸着、および、洗浄処理に適用可能である。
ESC101は、上部プレート102およびベースプレート103を備えてよい。ESC101は、2つのプレートを有するように図示されているが、ESCは、単一のプレートを備えてもよい。プレート102、103は、セラミックおよび/またはその他の材料で形成されてよい。図1~図5および図7~図11のESCは各々、特定の特徴を持ち、その他の特徴を持たないように図示されているが、ESCの各々は、本明細書ならびに図1~図5および図7~図11に開示されている特徴のいずれかを備えるように変形されてもよい。
ESC101は、処理チャンバの底部に取り付けられ、回転されるよう構成されていない様子が図示されているが、本明細書で開示されているESC101およびその他のESCは、処理チャンバの底部または上部に取り付けられてよく、基板の処理中に回転されるスピンチャックとして構成されてもよい。処理チャンバの上部に取り付けられる場合、ESCは、本明細書に開示されているのと同様の構成を有してもよいが、上下が反転されて、周辺基板保持、クランプ、および/または、把持ハードウェアを備えてもよい。
基板処理システム100は、処理チャンバ104を備える。ESC101は、処理チャンバ104内に収容されている。処理チャンバ104は、その他の構成要素(上側電極105など)も収容しており、RFプラズマを閉じ込める。動作中、基板107が、ESC101の上部プレート102上に配置され、静電的にクランプされる。
単に例として、上側電極105は、ガスを導入して分散させるシャワーヘッド109を備えてよい。シャワーヘッド109は、処理チャンバ104の上面に接続された一端を備えるステム部分111を備えてよい。シャワーヘッド109は、略円筒形であり、処理チャンバ104の上面から離れた位置でステム部分111の反対側の端部から半径方向外向きに広がる。基板対向面またはシャワーヘッド109は、処理ガスまたはパージガスが流れる穴を備える。あるいは、上側電極105は、導電性のプレートを備えてもよく、ガスは、別の方法で導入されてもよい。プレート102、103の一方または両方が、下側電極として機能してよい。
プレート102,103の一方または両方が、温度制御要素(TCE)を備えてよい。一例として、図1は、TCE110を備え、加熱プレートとして用いられる上部プレート102を示している。中間層114が、プレート102、103の間に配置されている。中間層114は、上部プレート102をベースプレート103に接着してよい。一例として、中間層は、上部プレート102をベースプレート103に接着するのに適した接着剤で形成されてよい。ベースプレート103は、基板107の背面に背面ガスを流しベースプレート103を通して冷却材を流すために、1以上のガス流路115および/または1以上の冷却材流路116を備えてよい。
RF発生システム120が、RF電圧を生成して、上側電極105および下側電極(例えば、プレート102、103の一方または両方)へ出力する。上側電極105およびESC101の一方が、DC接地、AC接地されるか、または、浮遊電位にあってよい。単に例として、RF発生システム120は、システムコントローラ121によって制御され、RF電圧を発生させる1または複数のRF発生器122(例えば、容量結合プラズマRF電力発生器、バイアス電力発生器、および/または、その他のRF電力発生器)を備えてよく、RF電圧は、1または複数の整合/配電回路網124によって上側電極105および/またはESC101へ供給される。一例として、第1RF発生器123、第2RF発生器125、第1RF整合回路網127、および、第2RF整合回路網129が図示されている。第1RF発生器123および第1RF整合回路網127は、RF電圧を供給してもよいし、単にシャワーヘッド109を接地基準に接続してもよい。第2RF発生器125および第2RF整合回路網は、各々または集合的に電源と呼ばれ、RF/バイアス電圧をESC101へ供給してよい。一実施形態において、第1RF発生器123および第1RF整合回路網127は、ガスを電離させてプラズマを駆動する電力を供給する。別の実施形態において、第2RF発生器125および第2RF整合回路網129は、ガスを電離させてプラズマを駆動する電力を供給する。RF発生器123、125の内の一方が、例えば、6~10キロワット(kW)以上の電力を生成する高出力RF発生器であってよい。
第2RF整合回路網129は、インピーダンス128を備え、プレート102、103内のRF電極(RF電極131、133など)へ電力を供給する。RF電極は、プレート102、103の一方または両方の中に配置されてよい。RF電極は、例えば、クランプ電極として利用される場合、ESC101の上面付近に配置されてよく、および/または、バイアスするために利用される場合、ESC101内のその他の位置に配置されてよい。RF電極は、代替的または追加的に、他の電源から電力を受信してもよい。一例として、RF電極の一部が、第2RF整合回路網129から電力を受信する代わりにまたはそれに加えて、電源135から電力を受信してよい。一実施形態において、電源135は、整合回路網を備えない、および/または、整合回路網が、電源135とRF電極との間に配置されない。RF電極の一部が、上部プレート102へ基板を静電的にクランプするために、第2RF整合回路網129および/または電源135から電力を受信してよい。電源135は、システムコントローラ121によって制御されてよい。チューニング回路139が、(i)第2RF整合回路網129と、電極131、133、137の内の対応する電極との間、かつ、(ii)電源135と、電極131、133、137の内の対応する電極との間、に接続されてよい。一実施形態において、チューニング回路139は、第2RF整合回路網129から下流に離れて処理チャンバ104の外側に配置される。チューニング回路139の例を図2~図11に示す。
ガス供給システム130は、1または複数のガス源132-1、132-2、・・・、および、132-N(集合的に、ガス源132)を備えており、ここで、Nはゼロより大きい整数である。ガス源132は、1または複数の前駆体およびそれらのガス混合物を供給する。ガス源132は、エッチングガス、搬送ガス、および/または、パージガスを供給してもよい。気化した前駆体が用いられてもよい。ガス源132は、バルブ134-1、134-2、・・・、および、134-N(集合的に、バルブ134)ならびにマスフローコントローラ136-1、136-2、・・・、および、136-N(集合的に、マスフローコントローラ136)によってマニホルド140に接続されている。マニホルド140の出力は、処理チャンバ104に供給される。単に例として、マニホルド140の出力は、シャワーヘッド109に供給される。
基板処理システム100は、さらに、温度コントローラ142を備えた冷却システム141を備えており、温度コントローラ142は、TCE110に接続されてよい。一実施形態において、TCE110は備えられない。システムコントローラ121とは別個に図示されているが、温度コントローラ142は、システムコントローラ121の一部として実装されてもよい。プレート102、103の一方または両方が、複数の温度制御された区画(例えば、4つの区画、ここで、各区画は、4つの温度センサを備える)を備えてもよい。
温度コントローラ142は、プレート102、103および基板(例えば、基板107)の温度を制御するために、TCE110の動作ひいては温度を制御してよい。温度コントローラ142および/またはシステムコントローラ121は、1または複数のガス源132からガス流路115への流れを制御することによって、基板を冷却するためにガス流路115へ送られる背面ガス(例えば、ヘリウム)の流量を制御してよい。また、温度コントローラ142は、流路116を通る第1冷却材の流れ(冷却流体の圧力および流量)を制御するために、冷却材アセンブリ146と通信してよい。第1冷却材アセンブリ146は、リザーバ(図示せず)から冷却流体を受け入れてよい。例えば、冷却材アセンブリ146は、冷却材ポンプおよびリザーバを備えてよい。温度コントローラ142は、ベースプレート103を冷却するために流路116を通して冷却材を流すように、冷却材アセンブリ146を作動させる。温度コントローラ142は、冷却材が流れる速度と、冷却材の温度とを制御してよい。温度コントローラ142は、処理チャンバ104内のセンサ143、144から検出されたパラメータに基づいて、TCE110に供給される電流と、流路115、116に供給されるガスおよび/または冷却材の圧力および流量と、を制御する。センサ143、144は、抵抗温度装置、熱電対、デジタル温度センサ、温度プローブ、および/または、その他の適切な温度センサを含みうる。基板処理システム100に備えられたセンサ143、144、および/または、その他のセンサは、温度、ガス圧、電圧、電流レベルなどのパラメータを検出するために用いられてよい。エッチング処理中、基板107は、高電力プラズマの存在下で所定の温度(例えば、摂氏120度(120℃))だけ加熱されてよい。流路115、116を通るガスおよび/または冷却材の流れが、ベースプレート103の温度を下げ、これが、基板107の温度を下げる(例えば、120℃から80℃に冷却)。
バルブ156およびポンプ158が、処理チャンバ104から反応物質を真空引きするために用いられてよい。システムコントローラ121は、供給されるRF電力レベル、供給されるガスの圧力および流量、RF整合などを制御することを含め、基板処理システム100の構成要素を制御してよい。システムコントローラ121は、バルブ156およびポンプ158の状態を制御する。ロボット170が、ESC101上へ基板を供給すると共に、ESC101から基板を除去するために用いられてよい。例えば、ロボット170は、ESC101とロードロック172との間で基板を移送してよい。ロボット170は、システムコントローラ121によって制御されてよい。システムコントローラ121は、ロードロック172の動作を制御してよい。
バルブ、ガスおよび/または冷却材ポンプ、電源、RF発生器などは、アクチュエータと呼んでもよい。TCE、ガス流路、冷却材流路などは、温度調節要素と呼んでもよい。
システムコントローラ121は、チューニング回路139のインピーダンスの状態を制御してよい。インピーダンスの例を図7~図11に示す。チューニング回路139のインピーダンスは、センサ143、144、145、ならびに/もしくは、基板支持体101、処理チャンバ104、第2RF整合回路網129、および/または、電源125、135の内の一方または両方におけるその他のセンサ、から受信されたフィードバック信号に基づいて調節されてよい。センサ145は、第2RF整合回路網129における電圧、電流レベル、電力レベルを検出してよい。センサが、ベースプレート103内に図示されているが、それらのセンサの内の1以上が、上部プレート102内に配置されてもよい。センサ104は、基板支持体101内のどこに配置されてもよい。センサ143は、処理チャンバ104内のどこに配置されてもよい。
システムコントローラ121は、さらに、インピーダンス128の状態を制御してよい。インピーダンス128の状態は、第2RF整合回路網129の1または複数の出力の1または複数のインピーダンスが、チューニング回路139の入力で見られるインピーダンスと整合するように、設定されてよい。チューニング回路139の入力で見られるインピーダンスは、基板支持体101およびチューニング回路139のインピーダンスに基づく。チューニング回路139のインピーダンスを調節する際に、システムコントローラ121は、それに応じて、第2RF整合回路網129のインピーダンスも調節してよい。
以下で説明する図2~図11には、特定の数のチューニング回路、インピーダンス、クランプ電極、RF電極、および/または、その他の要素が図示されているが、任意の数の各要素が備えられてよい。また、チューニング回路、インピーダンス、クランプ電極、および、RF電極が、特定の配置で、特定のサイズ、形状、および、パターンを有するように図示されているが、上記の要素は、異なる配置で、異なるサイズ、形状、および、パターンを有してもよい。
図2は、クランプチューニング回路202と、RFチューニング回路204と、クランプ電極206と、RF電極208とを備えた容量結合回路200を示している。シャワーヘッド(すなわち、上側電極)210およびESC212の断面図が示されている。シャワーヘッド210は、基準電位または接地214に接続されてよい。一実施形態において、シャワーヘッド210は、図1の第1RF整合回路網127によってRF給電される。プラズマ216が、シャワーヘッド210とESC212との間に提供される。基板218が、ESC212上に配置されている。
クランプチューニング回路202は、クランプ電極206に提供されるクランプ電圧、電流レベル、位相、電力レベル、および/または、周波数を制御するために用いられてよい。RFチューニング回路204は、RF電極208に提供されるバイアス電圧、電流レベル、電力レベル、および/または、周波数を制御するために用いられてよい。チューニング回路202、204は、例えば、図1の第2RF整合回路網129(または第1電源)および/または電源135(または第2電源)から電力Pinner、Pouterを受信し、プラズマを通した電圧降下を調節するために用いられてよい。これは、図1の基板支持体101の表面の上方の点および表面に沿った点のそれぞれのペアでの電圧差を調節することを含んでよい。チューニング回路202、204の例を図6に示す。チューニング回路202、204は、図6に示すように、インピーダンスの内の1以上を備えてよい。チューニング回路202、204は、並列インピーダンス経路を備えなくてよく、または、直列インピーダンス経路の代わりに伝送ラインを備えてよい。並列および直列インピーダンス経路の例を図6に示す。チューニング回路202、204に備えられてよいインピーダンスの例を図7~図11に示す。インピーダンスは、直列または並列に接続されてよく、シャントインピーダンスであってよく、ならびに/もしくは、キャパシタ、インダクタ、抵抗器、リアクタンス、伝送ライン、短絡回路または開回路、フィルタリング素子(またはフィルタ)、および/または、その他のインピーダンスを含んでよい。一例として、クランプ電極206は円形であってよく、RF電極208は環状であってよい。
図3は、第1クランプチューニング回路302と、第2クランプチューニング回路303と、外側RFチューニング回路304と、第1クランプ電極306と、第2クランプ電極307と、RF電極308とを備えた容量結合回路300を示す。シャワーヘッド(すなわち、上側電極)310およびESC312の断面図が示されている。シャワーヘッド310は、基準電位または接地314に接続されてよい。一実施形態において、シャワーヘッド310は、図1の第1RF整合回路網127によってRF給電される。プラズマ316が、シャワーヘッド310とESC312との間に提供される。基板318が、ESC312上に配置されている。
クランプチューニング回路302、303は、クランプ電極306、307に提供されるクランプ電圧、電流レベル、電力レベル、および/または、周波数を制御するために用いられてよい。RFチューニング回路304は、RF電極308に提供されるバイアス電圧、電流レベル、電力レベル、および/または、周波数を制御するために用いられてよい。チューニング回路302、303、および、304は、例えば、図1の第2RF整合回路網129(または第1電源)、図1の電源135(または第2電源)から、ならびに/もしくは、1または複数のその他の電源から、電力Pclamp1、Pclamp2、および、Pouterを受信してよい。チューニング回路302、303、304は、プラズマを通した電圧降下を調節するために用いられてよい。一実施形態において、Pclamp1は、Pclamp2と等しい。チューニング回路302、303、304の例を図6に示す。チューニング回路302、303、304は、図6に示すように、インピーダンスの内の1以上を備えてよい。チューニング回路302、303、304は、並列インピーダンス経路を備えなくてよく、または、直列インピーダンス経路の代わりに伝送ラインを備えてよい。チューニング回路302、303、304に備えられてよいインピーダンスの例を図7~図11に示す。インピーダンスは、直列または並列に接続されてよく、シャントインピーダンスであってよく、ならびに/もしくは、キャパシタ、インダクタ、抵抗器、リアクタンス、伝送ライン、短絡回路または開回路、フィルタリング素子、および/または、その他のインピーダンスを含んでよい。一例として、クランプ電極306、307は円形であってよく、RF電極308は環状であってよい。
図4は、クランプチューニング回路402と、内側RFチューニング回路404と、外側RFチューニング回路405と、クランプ電極406と、内側バイアス電極408と、外側バイアス電極409とを備えた容量結合回路400を示す。シャワーヘッド(すなわち、上側電極)410およびESC412の断面図が示されている。シャワーヘッド410は、基準電位または接地414に接続されてよい。一実施形態において、シャワーヘッド410は、図1の第1RF整合回路網127によってRF給電される。プラズマ416が、シャワーヘッド410とESC412との間に提供される。基板418が、ESC412上に配置されている。
クランプチューニング回路402は、クランプ電極406に提供されるクランプ電圧、電流レベル、位相、電力レベル、および/または、周波数を制御するために用いられてよい。RFチューニング回路404、405は、バイアス電極408、409に提供されるバイアス電圧、電流レベル、電力レベル、および/または、周波数を制御するために用いられてよい。チューニング回路402、404、405は、例えば、図1の第2RF整合回路網129(または第1電源)、図1の電源135(または第2電源)から、ならびに/もしくは、1または複数のその他の電源から、電力Pclamp、Pinner、Pouterを受信してよい。チューニング回路402、404、405は、プラズマを通した電圧降下を調節するために用いられてよい。チューニング回路402、404、405の例を図6に示す。チューニング回路402、404、405は、図6に示すように、インピーダンスの内の1以上を備えてよい。チューニング回路402、404、405は、並列インピーダンス経路を備えなくてよく、または、直列インピーダンス経路の代わりに伝送ラインを備えてよい。チューニング回路402、404、405に備えられてよいインピーダンスの例を図7~図11に示す。インピーダンスは、直列または並列に接続されてよく、シャントインピーダンスであってよく、ならびに/もしくは、キャパシタ、インダクタ、抵抗器、リアクタンス、伝送ライン、短絡回路または開回路、フィルタリング素子、および/または、その他のインピーダンスを含んでよい。一例として、クランプ電極406および内側バイアス電極408は円形であってよく、外側バイアス電極409は環状であってよい。
図5は、クランプチューニング回路502と、第1内側RFチューニング回路504と、第2内側チューニング回路505と、外側RFチューニング回路506と、クランプ電極507と、第1内側バイアス電極508と、第2内側バイアス電極509と、外側バイアス電極510とを備えた容量結合回路500を示す。シャワーヘッド(すなわち、上側電極)511およびESC512の断面図が示されている。シャワーヘッド511は、基準電位または接地514に接続されてよい。一実施形態において、シャワーヘッド511は、図1の第1RF整合回路網127によってRF給電される。プラズマ516が、シャワーヘッド511とESC512との間に提供される。基板518が、ESC512上に配置されている。
クランプチューニング回路502は、クランプ電極507に提供されるクランプ電圧、電流レベル、電力レベル、および/または、周波数を制御するために用いられてよい。RFチューニング回路504、505、506は、バイアス電極508、509、510に提供されるバイアス電圧、電流レベル、位相、電力レベル、および/または、周波数を制御するために用いられてよい。チューニング回路502、504、505、506は、例えば、図1の第2RF整合回路網129(または第1電源)、図1の電源135(または第2電源)から、ならびに/もしくは、1または複数のその他の電源から、電力Pclamp、Pinner1、Pinner2、Pouterを受信してよい。チューニング回路502、504、505、506は、プラズマを通した電圧降下を調節するために用いられてよい。チューニング回路502、504、505、506の例を図6に示す。チューニング回路502、504、505、506は、図6に示すように、インピーダンスの内の1以上を備えてよい。チューニング回路502、504、505、506は、並列インピーダンス経路を備えなくてよく、または、直列インピーダンス経路の代わりに伝送ラインを備えてよい。チューニング回路502、504、505、506に備えられてよいインピーダンスの例を図7~図11に示す。インピーダンスは、直列または並列に接続されてよく、シャントリアクタンスであってよく、ならびに/もしくは、キャパシタ、インダクタ、抵抗器、リアクタンス、伝送ライン、短絡回路または開回路、フィルタリング素子、および/または、その他のインピーダンスを含んでよい。一例として、クランプ電極507およびバイアス電極508、509は円形であってよく、外側バイアス電極510は環状であってよい。
図6は、クランプ電極またはバイアス電極などの電極(または負荷)602のためのチューニング回路600を示す。チューニング回路600は、図2~図5の チューニング回路202、204、302、304、305、402、404、405、502、504、505、および、506のいずれと置き換わってもよい。チューニング回路600の例を図9~図10に示す。チューニング回路600は、RF電源604(図1の電源129、135の一方など)からRF電力を受信してよい。チューニング回路600は、直列インピーダンスセット606を備えた直列インピーダンス経路605と、並列インピーダンスセット608を備えた並列インピーダンス経路607と、を備えてよい。直列インピーダンスセット606は、RF電源604と負荷602との間に直列接続された1または複数のインピーダンス609を備える。直列インピーダンスセット606ならびに1または複数のインピーダンス609は、負荷602と電源端子610との間に接続されている。電源端子610は、RF電源604に接続されている。並列インピーダンスセット608は、(i)RF電源604および直列インピーダンスセット606の間に接続された電源端子610と、(ii)基準端子または接地612との間に接続されている。並列インピーダンスセット608は、電源端子610と基準端子612との間に並列接続された1または複数のインピーダンス613を備えてよい。
インピーダンス609、613の一方または両方が、固定インピーダンスであってよい。追加的または代替的に、インピーダンス609、613の一方または両方が、可変インピーダンスであってもよく、可変インピーダンスは、例えば:現在の処理レシピ;現在の動作パラメータ;1または複数のセンサ(例えば、図1のセンサ143)の出力に基づいて測定および/または決定されたパラメータ;ならびに/もしくは、処理システム、ESC、および、基板の特徴および/または特性に基づいて、図1のシステムコントローラ121によって調節されてよい。
以下の図7~図11では、特定のインピーダンスが図示されているが、その他のインピーダンスが備えられてもよい。インピーダンスは、電線および/またはその他の導電回路素子からの「漂遊」インダクタンスを含んでもよい。
図7は、単一のRF電源702に接続されてよいチューニング回路700を示す。チューニング回路700は、2つのクランプ電極706、708、および、バイアス電極リング710のための直列接続インダクタL1~L3およびキャパシタC1~C3を備える。RF電源702は、図1の電源129、135と同様に動作してよく、基準端子または接地711に接続されてよい。一実施形態(接地されたペデスタル構成とも呼ばれる)において、RF電源702は備えられず、キャパシタC1~C3は、接地711に接続される。
図7において、電極706、708、710の断面図が示されている。電極706、708、710は、同心に配置されてよい。L1およびC1は、(i)RF電源702および共通端子712と、(ii)第1内側クランプ電極706との間に直列接続されている。L2およびC2は、(i)RF電源702および共通(または電源)端子712と、(ii)中央端子714との間に直列接続されており、中央端子714は、バイアス電極リング710上の2つの点に接続されている。L3およびC3は、(i)RF電源702および共通端子712と、(ii)第2内側クランプ電極708との間に直列接続されている。
インダクタL1~L3およびキャパシタC1~C3は、上述のように、固定値を有してもよいし、図1のシステムコントローラ121によって制御される可変装置であってもよい。インダクタL1~L3およびキャパシタC1~C3が図示されているが、他のインピーダンスがチューニング回路700に組込まれてもよい。
図7は、電力が共通ノード(または端子)に供給され、複数の電極に電力を供給するために分割される場合の例を提供している。各電極への各経路のインピーダンスは、対応する経路内のインピーダンス(または直列接続インダクタンスおよびキャパシタンス)によって変更されてよい。
図8は、単一のRF電源802に接続されてよいチューニング回路800を示す。チューニング回路800は、2つのクランプ電極804、806、および、バイアス電極リング808のためのシャントインダクタL1~L3およびシャントキャパシタC1~C3を備える。RF電源802は、図1の電源129、135と同様に動作してよく、基準端子または接地811に接続されてよい。RF電源802は、共通(または電源)端子812に接続されており、共通端子812は、クランプ電極802、806、および、中央端子814に接続されている。
一実施形態(接地されたペデスタル構成とも呼ばれる)において、RF電源802は備えられず、端子812は、接地811に接続される。端子812が接地811に接続される場合、1または複数の直列接続インピーダンスが、(i)ノード820と接地811との間、(ii)ノード822と接地811との間、および/または、ノード824と接地811との間に接続されてよい。上記の1または複数の直列接続インピーダンスは、インピーダンスL1~L3およびC1~C3と同様であってもよいし、他のインピーダンスを含んでもよい。これは、例えば、対応するシャワーヘッドがRF電力を供給される場合になされてよい。
電極802、806、808の断面図が示されている。電極802、806、808は、同心に配置されてよい。L1およびC1は、第1端子820と接地811との間に並列接続されている。第1端子820は、共通端子812と第1クランプ電極802との間に接続されている。L2およびC2は、第2端子822と接地811との間に並列接続されている。第2端子822は、共通端子812と第1クランプ電極802との間に接続されている。L3およびC3は、第3端子824と接地811との間に並列接続されている。第3端子824は、共通端子812と第2クランプ電極806との間に接続されている。
インダクタL1~L3およびキャパシタC1~C3は、上述のように、任意および/または所定の固定値を有してもよいし、図1のシステムコントローラ121によって制御される可変装置であってもよい。インダクタL1~L3およびキャパシタC1~C3が図示されているが、他のインピーダンスがチューニング回路800に組込まれてもよい。
図8は、電力が共通ノードに供給され、複数の電極に電力を供給するために分割される場合の別の例を提供している。各電極への各経路のインピーダンスは、対応する経路に接続されたシャントインピーダンス(またはシャントインダクタンスおよびキャパシタンス)によって変更されてよい。
図9は、デュアルRF電源902、904に接続されたチューニング回路900を示す。チューニング回路900は、2つのクランプ電極906、908およびバイアス電極リング910のための直列接続されたインダクタL1~L3およびキャパシタC1~C3ならびにシャント接続されたインダクタL4~L6およびキャパシタC4~C6を備える。RF電源902、904は、図1の電源129、135と同様に動作してよく、基準端子または接地911に接続されてよい。RF電源902、904は、共通(または電源)端子912に接続されており、同じ周波数または異なる周波数の電力を供給してよい。
一実施形態(接地されたペデスタル構成とも呼ばれる)において、RF電源902、904は備えられず、端子912は、接地911に接続される。端子912が接地911に接続される場合、1または複数の直列接続インピーダンスが、(i)ノード920と接地911との間、(ii)ノード922と接地911との間、および/または、ノード924と接地911との間に接続されてよい。上記の1または複数の直列接続インピーダンスは、インピーダンスL1~L3およびC1~C3と同様であってもよいし、他のインピーダンスを含んでもよい。これは、例えば、対応するシャワーヘッドがRF電力を供給される場合になされてよい。
インダクタL1およびキャパシタC1は、共通端子912と第1クランプ電極906との間に直列接続されている。インダクタL2およびキャパシタC2は、中央端子914と共通端子912との間に直列接続されている。中央端子は、バイアス電極リング910上の2つの点に接続されている。
電極906、908、910の断面図が示されている。電極906、908、910は、同心に配置されてよい。L4およびC4は、第1端子920と接地911との間に並列接続されている。第1端子920は、キャパシタC1と共通端子912との間に接続されている。L5およびC5は、第2端子922と接地911との間に並列接続されている。第2端子922は、キャパシタC2と共通端子912との間に接続されている。L6およびC6は、第3端子924と接地911との間に並列接続されている。第3端子924は、キャパシタC3と共通端子912との間に接続されている。
インダクタL1~L6およびキャパシタC1~C6は、上述のように、任意および/または所定の固定値を有してもよいし、図1のシステムコントローラ121によって制御される可変装置であってもよい。インダクタL1~L6およびキャパシタC1~C6が図示されているが、他のインピーダンスがチューニング回路900に組込まれてもよい。L4~L6およびC4~C6は、任意の回路網であってよく、回路網は、インダクタおよび/またはキャパシタを備えなくてもよい。
図10は、2つのチューニング回路1000、1002が、それぞれのRF電源1004、1006に接続されてよいことを示している。第1チューニング回路1000は、2つのクランプ電極1010、1012のための直列接続されたインダクタL1、L3およびキャパシタC1、C3ならびにシャント接続されたインダクタL4、L6およびキャパシタC4、C6を備える。第2チューニング回路1002は、バイアス電極リング1014のための直列接続されたインダクタL2およびキャパシタC2ならびにシャント接続されたインダクタL5およびキャパシタC5を備える。RF電源1004、1006は、図1の電源129、135と同様に動作してよく、基準端子または接地1016に接続されてよい。RF電源1004は、共通(または電源)端子1018に接続されており、共通端子1018は、C1、C3、C4、C6、L4、L6に接続されている。RF電源1006は、C2およびL2を介して中央端子1020に接続されている。RF電源1004、1006は、同じ周波数または異なる周波数の電力を供給してよい。
インダクタL1およびキャパシタC1は、共通端子1018と第1クランプ電極1010との間に直列接続されている。インダクタL2およびキャパシタC2は、中央端子1020とRF電源1006との間に直列接続されている。中央端子1020は、バイアス電極リング1014上の2つの点に接続されている。
電極1010、1012、1014の断面図が示されている。電極1010、1012、1014は、同心に配置されてよい。L4およびC4は、第1端子1030と接地1016との間に並列接続されている。第1端子1030は、キャパシタC1と共通端子1018との間に接続されている。L5およびC5は、第2端子1032と接地1016との間に並列接続されている。第2端子1032は、キャパシタC2と共通端子1018との間に接続されている。L6およびC6は、第3端子1034と接地1016との間に並列接続されている。第3端子1034は、キャパシタC3と共通端子1018との間に接続されている。
インダクタL1~L6およびキャパシタC1~C6は、上述のように、任意および/または所定の固定値を有してもよいし、図1のシステムコントローラ121によって制御される可変装置であってもよい。インダクタL1~L6およびキャパシタC1~C6が図示されているが、他のインピーダンスがチューニング回路1000に組込まれてもよい。L4~L6およびC4~C6は、任意の回路網であってよく、回路網は、インダクタおよび/またはキャパシタを備えなくてもよい。
一実施形態において、RF電源1004は備えられず、端子1018は、接地1016に接続される。別の実施形態において、RF電源1006は備えられず、端子1032は、接地1016に接続される。さらに別の実施形態においては、RF電源1004、1006のどちらも備えられず、端子1018および1032は、接地1016に接続される。端子1018および/または端子1032が接地1016に接続される場合、1または複数の直列接続インピーダンスが、(i)ノード1030と接地1016との間、(ii)ノード1034と接地1016との間、および/または、ノード1032と接地1016との間に接続されてよい。上記の1または複数の直列接続インピーダンスは、インピーダンスL1~L3およびC1~C3と同様であってもよいし、他のインピーダンスを含んでもよい。これは、例えば、対応するシャワーヘッドがRF電力を供給される場合になされてよい。
図11は、2つのクランプ電極1102、1104およびバイアス電極リング1106のための並列接続キャパシタC1、C2およびインダクタL1、L2を備えたチューニング回路1100を示す。電極1102、1104、1106は、同心に配置されてよい。キャパシタC1およびC2は、(i)クランプ電極1102、1104の間、かつ、(ii)電源端子1110、1112間に直列接続されている。インダクタL1、L2は、それぞれ、キャパシタC1、C2と並列接続され、(i)クランプ電極1102、1104の間、かつ、(ii)電源端子1110、1112の間に直列接続されている。中央端子1114、1116は、それぞれ、キャパシタC1、C2の間、および、インダクタL1、L2の間に接続されている。中央端子1114、1116は、(i)バイアス電極リング1106上の2つの点と、(ii)第3(または中央)電源端子1118との両方に接続されている。電源端子1110、1112は、それぞれ、クランプ電極1102、1104に接続されている。電源端子1110、1112、1118は、それぞれの電源に接続されてよい。一実施形態において、電源端子1110、1112、1118の内の1以上が、RF電源に接続されず、基準端子または接地に接続される。
インダクタL1~L2およびキャパシタC1~C2は、上述のように、任意および/または所定の固定値を有してもよいし、図1のシステムコントローラ121によって制御される可変装置であってもよい。インダクタL1~L2およびキャパシタC1~C2が図示されているが、他のインピーダンスがチューニング回路1100に組込まれてもよい。インダクタL1~L2およびキャパシタC1~C2は、複数の周波数の電力を各電極へ供給するために電極間に接続される結合要素である。
チューニング回路1100は、図3、図5、および、図7~図10に示した回路のいずれかと組み合わせて用いられてもよい。例えば、キャパシタC1、C2およびインダクタL1、L2は:図3の電極306、307および電極リング308;図5の電極508、509および電極リング510;図7の電極706、708および電極リング710;図8の電極802、806および電極リング808;図9の電極906、908および電極リング910;ならびに、図10の電極1010、1012および電極リング1014、へ同様に接続されてよい。
図2~図11の上述の例において、電力が複数の周波数で供給される場合、所与の電極への経路は、特定の周波数の電力をその電極へ供給するために、周波数依存フィルタリング素子を備えてよい。上述のインピーダンスは、周波数依存フィルタリング素子を備えてよい。さらに、異なる電極に供給される電力は、電源によって供給される電力が同じ周波数または異なる周波数になるように、同じ周波数または異なる周波数で動作する別個の(または異なる)電源によって供給されてよい。図9~図10は、複数の電源を備えた例を示している。一代替例として、電源の内の1以上が備えられなくてもよく、対応する端子(例えば、端子912、1018、1032)が、基準端子または接地に接続されてよい。
図12は、静電チャックの電極のチューニング回路のためのインピーダンス値の設定および調節を含む、基板処理システムを動作させる方法の一例を示す。以下の工程は 、主に図1~図11の実施例に関して記載されているが、本開示のその他の実施例に適用するために容易に変形できる。工程は、反復して実行されてもよい。工程は、例えば、図1のシステムコントローラ121によって実行されてよい。
方法は、工程1200で開始しうる。工程1202で、実行される処理が選択される。処理の例は、洗浄処理、エッチング処理、蒸着処理、アニーリング処理などである。工程1204で、システム動作パラメータを含むレシピが、選択された処理の実行に向けて決定される。システム動作パラメータの例は:ガス圧および流量;処理チャンバ、ESC、および、基板の温度;RFバイアス電圧;クランプ電圧;電極電圧、電流レベル、電力レベル、および/または、周波数、などである。
工程1206で、処理チャンバ、ESC、および、基板の特徴および/または特性が決定される。特徴および特性の例は、処理チャンバの幾何値、ESCの組成、ESCの加熱および冷却特性(例えば、加熱および冷却速度)、ESCのサイズ、基板の組成、ESCおよび/または基板の材料、などである。
工程1208で、システム動作パラメータが、システムコントローラ121によって設定されてよい。これは、上述のアクチュエータの動作を制御する工程を含んでよい。工程1210で、チューニング回路のインピーダンス値が、選択された処理、レシピ、および、システム動作パラメータに基づいて設定される。インピーダンス値は、追加的または代替的に、処理チャンバ、ESC、および/または、基板の特徴および/または特性に基づいて設定されてもよい。一例として、本明細書に記載の他のパラメータ、特徴、および/または、特性にインピーダンス値を関連付けるルックアップテーブルが、システムコントローラ121のメモリに格納されてよい、および/または、システムコントローラ121によってアクセスされてよい。システムコントローラ121は、上述のように第2RF整合回路網129のインピーダンス128を設定してもよい。
工程1212で、基板は、ESC上に配置されてよい。これは、基板をESCにクランプするためにクランプ電圧を供給する工程を含んでよい。工程1214で、処理動作が実行される。処理動作の例は、洗浄動作、ガスを流す動作、プラズマを流して点火する動作、エッチング動作、蒸着動画、アニーリング動作、アニーリング後の動作、処理チャンバのパージ動作、などである。
工程1216、1218、1220、1222は、工程1212の実行中に実行されてよい。工程1216で、基板処理システムのセンサ出力データを含むセンサ出力信号が監視される。これは、図1のセンサ143、144、145から信号を受信する工程を含んでよい。工程1218で、パラメータが、センサ143、144、145、および/または、その他のセンサからのセンサ出力信号、データ、ならびに/もしくは、対応する測定値(温度、ガス圧、電圧、電流レベル、電力レベルなど)に基づいて決定されてよい。
工程1220で、システムコントローラ121は、測定値および/または決定されたパラメータに基づいて、チューニング回路のインピーダンス値を調節するか否かを決定してよい。この決定は、選択された処理、レシピ、システム動作パラメータ、ならびに/もしくは、処理チャンバ、ESC、および/または、基板の特徴および/または特性に基づいてよい。特性は、動的に変化しうる。一実施形態において、インピーダンス値は、特性の変化に基づいて、所定の軌跡に従うように調節される。所定の軌跡は、例えば、メモリに格納された所定の曲線であってよい。インピーダンス値をその他の値およびパラメータに関連付けるテーブルが、メモリに格納されてよい。1または複数のインピーダンス値が変更される場合、動作1222が実行され、そうでない場合には、動作1216が実行されてよい。一実施形態において、1または複数の電極に供給される電力が、対応するインピーダンスの値を変更することによって調節される。これにより、基板の応力、厚さ、均一性、屈折率、エッチング速度、蒸着速度、および/または、その他の固有値、ならびに/もしくは、プロファイルパラメータを変化させることができる。
工程1222で、システムコントローラ121は、例えば、1以上のインピーダンスのインダクタンス、キャパシタンス、インピーダンス、および/または、抵抗を変化させることによって、チューニング回路の1以上のインピーダンス値を調節する。この調節(または調節量)は、測定および/または決定されたパラメータ、選択された処理、レシピ、システム動作パラメータ、ならびに/もしくは、処理チャンバ、ESC、および/または、基板の特徴および/または特性に基づいてよい。システムコントローラ121は、上述のように第2RF整合回路網129のインピーダンス128を調節してもよい。動作1222の後に、動作1216が実行されてよい。
工程1224で、システムコントローラ121は、現在の処理の修正または別の処理の実行を行うか否かを決定する。現在の処理の修正または別の処理の実行を行う場合、工程1202が実行されてよい。現在の処理が修正されず、かつ、さらなる処理が実行されない場合、方法は、工程1226で終了してよい。
上述の工程は、例示であることを意図している。工程は、応用例に応じて、順番に、チューニングして、同時に、連続的に、重複した期間内に、または、異なる順序で、実行されてよい。また、工程のいずれかが、実施例および/またはイベントの順序に応じて、実行されなくても飛ばされてもよい。
図13は、外側リング電極1302と、2つの内側電極1304、1306を備えた基板支持体の一例1300を示す。電極1302、1304、1306は、図3、図5、および、図7~図11に示したような、2つの内側電極および外側電極の一例として提供されている。内側電極1304、1306は、「D字」型の電極であってよく、外側リング電極1302の半径方向内側に配置されている。ギャップ1308および1310が、内側電極1304、1306と、外側リング電極1302との間に存在する。外側リング電極1302は、外側リング1311と、内側電極1304、1306の間に伸びる線形の中央部材1312と、を備えてよい。ギャップ1314および1316が、内側電極1304、1306と、中央部材1312との間に存在してよい。中央部材1312は、外側リング1311の中央領域1320を通って内側電極1304、1306の間に伸びており、中央領域1320を二等分している。一実施形態において、電力が、中央部材1312の中心で外側リング電極1302に供給される。電力が、中央部材1312の中心付近で内側電極1304、1306の部分に提供されてよい。
上述の例は、静電チャックおよび/またはその他のペデスタル(または基板支持体)内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたRFチューニングシステムを提供している。ペデスタルは、静電チャックではなくてもよい。これは、処理チャンバ(例えば、PECVDリアクタ)内のプラズマに供給される電力の空間的調整を提供する。これらの例は、膜蒸着および均一性のための新しい制御パラメータを提供する。外側環状電極および内側円形電極を含む一例として、基板の外周のプラズマの相対強度が、電極に供給される電力を変調させることによって変えられてよい。これは、上述のように、対応するインピーダンスを変調(すなわち調節)することによって達成されうる。ガスパラメータまたは電力全体を変えるのと異なり、電極に供給される電力を変調させることは、基板全体に影響する全体的パラメータを必ずしも変えることがなく、基板の膜の選択領域(例えば、基板の膜の周縁部)を変えることを可能にする。これは、プラズマの外側部分を変更するために金属または誘電体リングを利用すること(これは、ガス流の変動を引き起こし、その結果として、膜の周縁部というよりもむしろ、基板膜の膜を変える全体的な影響を持ちうる)を含む従来の技術とは異なる。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。一部の例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1以上の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。本開示は以下の適用例としても実現できる。
[適用例1]
処理チャンバ内で基板を処理するための基板処理システムであって、
電源端子と、
前記基板を保持するよう構成された基板支持体であって、前記基板支持体は、複数の電極を備え、前記複数の電極は、第1電極および第2電極を含み、前記第1電極および前記第2電極は、前記電源端子を介して第1電源から電力を受信する、基板支持体と、
前記第1電極および前記第2電極の少なくとも一方に接続された第1チューニング回路と、
を備え、
前記第1チューニング回路は、前記第1電極に供給される1または複数の信号を調整することに割り当てられ、前記第1チューニング回路は、
前記第1電極と前記第1電源との間に直列接続された第1インピーダンスセットであって、前記第1インピーダンスセットは、前記電源端子を介して前記第1電源から第1信号を受信し、前記1または複数の信号は、前記第1信号を含む、第1インピーダンスセット、または、
前記第1電源の出力と基準端子との間に接続された第2インピーダンスセットであって、前記第2インピーダンスセットは、前記電源端子を介して前記第1電源から前記第1信号を受信する、第2インピーダンスセット、の内の少なくとも一方を備える、基板処理システム。
[適用例2]
適用例1に記載の基板処理システムであって、前記第1チューニング回路は、前記第1インピーダンスセットおよび前記第2インピーダンスセットを備える、基板処理システム。
[適用例3]
適用例1に記載の基板処理システムであって、さらに、前記第1インピーダンスセットのインピーダンスの値および前記第2インピーダンスセットのインピーダンスの値を調節するよう構成されたシステムコントローラを備える、基板処理システム。
[適用例4]
適用例1に記載の基板処理システムであって、前記第1チューニング回路は、前記第1電極へ供給される前記1または複数の信号の電圧、電流レベル、位相、電力レベル、および/または、周波数を調節する、基板処理システム。
[適用例5]
適用例1に記載の基板処理システムであって、
前記第1チューニング回路は、前記第1インピーダンスセットおよび前記第2インピーダンスセットを備え、
前記第2インピーダンスセットは、前記第1インピーダンスセットと前記基準端子との間に接続されている、基板処理システム。
[適用例6]
適用例1に記載の基板処理システムであって、
前記第1電源は、整合回路網を備え、
前記整合回路網は、前記第1電源と前記電源端子との間に接続され、
前記第1チューニング回路は、前記電源端子と前記第1電極との間に接続されている、基板処理システム。
[適用例7]
適用例1に記載の基板処理システムであって、前記第1チューニング回路は、整合回路網の中に含まれていない、基板処理システム。
[適用例8]
適用例7に記載の基板処理システムであって、前記第1電源と前記第1チューニング回路との間に、整合回路網が接続されていない、基板処理システム。
[適用例9]
適用例1に記載の基板処理システムであって、さらに、第2チューニング回路を備え、
前記第1チューニング回路は、前記第1電源から前記第1電極へ供給される前記第1信号の電圧、電流レベル、位相、電力レベル、または、周波数を調節するよう構成されており、
前記第2チューニング回路は、前記第1電源から前記第2電極へ供給される前記第1信号の電圧、電流レベル、位相、電力レベル、または、周波数を調節するよう構成されており、
前記1または複数の信号は、前記第1信号を含む、基板処理システム。
[適用例10]
適用例1に記載の基板処理システムであって、さらに、第2電源と、第2チューニング回路と、を備え、
前記第1チューニング回路は、前記第1電源から前記第1電極へ供給される前記第1信号の電圧、電流レベル、位相、電力レベル、または、周波数を調節するよう構成されており、
前記第2チューニング回路は、前記第2電源から前記第2電極へ供給される第2信号の電圧、電流レベル、位相、電力レベル、または、周波数を調節するよう構成されており、
前記1または複数の信号は、前記第1信号および前記第2信号を含む、基板処理システム。
[適用例11]
適用例1に記載の基板処理システムであって、前記複数の電極は、同心に配置されている、基板処理システム。
[適用例12]
適用例1に記載の基板処理システムであって、さらに、第2チューニング回路と、第3チューニング回路と、を備え、
前記複数の電極は、第3電極を含み、
前記第1チューニング回路は、前記第1電極に接続され、前記第1電極で受信される前に前記第1信号を変調するよう構成されており、
前記第2チューニング回路は、前記第2電極に接続され、前記第2電極で受信される前に前記第1信号または第2信号を変調するよう構成されており、
前記第3チューニング回路は、前記第3電極に接続され、前記第3電極で受信される前に前記第1信号または第3信号を変調するよう構成されている、基板処理システム。
[適用例13]
適用例12に記載の基板処理システムであって、前記第1電極、第2電極、および、第3電極は、同心に配置されている、基板処理システム。
[適用例14]
適用例12に記載の基板処理システムであって、
前記基板支持体は、静電チャックであり、
前記第1電極および前記第2電極は、クランプ電極であり、前記基板を前記基板支持体にクランプするためにクランプ電圧を受信するよう構成されており、
前記第3電極は、バイアス電極であり、バイアス電圧を受信するよう構成されており、
前記第3信号は、前記第3チューニング回路によって第3電源から受信される、基板処理システム。
[適用例15]
適用例12に記載の基板処理システムであって、
前記基板支持体は、静電チャックであり、
前記第1電極は、クランプ電極であり、
前記第2電極および前記第3電極は、バイアス電極であり、
前記第2信号は、前記第2チューニング回路によって第2電源から受信される、基板処理システム。
[適用例16]
適用例1に記載の基板処理システムであって、
前記基板支持体は、静電チャックであり、
前記第1電極は、クランプ電極であり、
前記第2電極は、クランプ電極であり、
前記複数の電極は、電極リングを含み、
前記第1チューニング回路は、前記第1インピーダンスセット、第3インピーダンスセット、および、第4インピーダンスセットを備え、
前記第1インピーダンスセットは、前記第1クランプ電極と前記第1電源との間に接続された第1インダクタおよび第1キャパシタを備え、
前記第3インピーダンスセットは、前記電極リングと前記第1電源との間に接続された第2インダクタおよび第2キャパシタを備え、
前記第4インピーダンスセットは、前記第2クランプ電極と前記第1電源との間に接続された第3インダクタおよび第3キャパシタを備える、基板処理システム。
[適用例17]
適用例1に記載の基板処理システムであって、
前記基板支持体は、静電チャックであり、
前記第1電極は、クランプ電極であり、
前記第2電極は、クランプ電極であり、
前記複数の電極は、電極リングを含み、
前記第1チューニング回路は、前記第2インピーダンスセット、第3インピーダンスセット、および、第4インピーダンスセットを備え、
前記第2インピーダンスセットは、第1電極端子と前記基準端子との間に並列接続された第1インダクタおよび第1キャパシタを備え、前記第1電極端子は、前記第1クランプ電極と前記第1電源との間に接続されており、
前記第3インピーダンスセットは、第2電極端子と前記基準端子との間に並列接続された第2インダクタおよび第2キャパシタを備え、前記第2電極端子は、前記電極リングと前記第1電源との間に接続されており、
前記第4インピーダンスセットは、第3電極端子と前記基準端子との間に並列接続された第3インダクタおよび第3キャパシタを備え、前記第3電極端子は、前記第2クランプ電極と前記第1電源との間に接続されている、基板処理システム。
[適用例18]
適用例1に記載の基板処理システムであって、
前記基板支持体は、静電チャックであり、
前記第1電極は、クランプ電極であり、
前記第2電極は、クランプ電極であり、
前記複数の電極は、電極リングを含み、
前記第1チューニング回路は、前記第1インピーダンスセット、前記第2インピーダンスセット、第3インピーダンスセット、第4インピーダンスセット、第5インピーダンスセット、および、第6インピーダンスセットを備え、
前記第1インピーダンスセットは、前記第1クランプ電極と前記第1電源との間に接続された第1インダクタおよび第1キャパシタを備え、
前記第3インピーダンスセットは、前記電極リングと前記第1電源との間に接続された第2インダクタおよび第2キャパシタを備え、
前記第4インピーダンスセットは、前記第2クランプ電極と前記第1電源との間に接続された第3インダクタおよび第3キャパシタを備え、
前記第2インピーダンスセットは、第1電極端子と前記基準端子との間に並列接続された第4インダクタおよび第4キャパシタを備え、前記第1電極端子は、前記第1クランプ電極と前記第1電源との間に接続されており、
前記第5インピーダンスセットは、第2電極端子と前記基準端子との間に並列接続された第5インダクタおよび第5キャパシタを備え、前記第2電極端子は、前記電極リングと前記第1電源との間に接続されており、
前記第6インピーダンスセットは、第3電極端子と前記基準端子との間に並列接続された第6インダクタおよび第6キャパシタを備え、前記第3電極端子は、前記第2クランプ電極と前記第1電源との間に接続されている、基板処理システム。
[適用例19]
適用例18に記載の基板処理システムであって、第2電源が、前記第1端子、前記第2端子、および、前記第3端子に接続されている、基板処理システム。
[適用例20]
適用例1に記載の基板処理システムであって、さらに、第2チューニング回路を備え、
前記基板支持体は、静電チャックであり、
前記第1電極は、第1クランプ電極であり、
前記第2電極は、第2クランプ電極であり、
前記複数の電極は、電極リングを含み、
前記第1チューニング回路は、前記第1インピーダンスセット、第3インピーダンスセット、および、第4インピーダンスセットを備え、
前記第2チューニング回路は、前記第2インピーダンスセット、第5インピーダンスセット、および、第6インピーダンスセットを備え、
前記第1インピーダンスセットは、前記第1クランプ電極と前記第1電源との間に接続された第1インダクタおよび第1キャパシタを備え、
前記第3インピーダンスセットは、前記電極リングと第2電源との間に接続された第2インダクタおよび第2キャパシタを備え、
前記第4インピーダンスセットは、前記第2クランプ電極と前記第1電源との間に接続された第3インダクタおよび第3キャパシタを備え、
前記第2インピーダンスセットは、第1電極端子と前記基準端子との間に並列接続された第4インダクタおよび第4キャパシタを備え、前記第1電極端子は、前記第1クランプ電極と前記第1電源との間に接続されており、
前記第5インピーダンスセットは、第2電極端子と前記基準端子との間に並列接続された第5インダクタおよび第5キャパシタを備え、前記第2電極端子は、前記電極リングと前記第2電源との間に接続されており、
前記第6インピーダンスセットは、第3電極端子と前記基準端子との間に並列接続された第6インダクタおよび第6キャパシタを備え、前記第3電極端子は、前記第2クランプ電極と前記第1電源との間に接続されている、基板処理システム。
[適用例21]
適用例1に記載の基板処理システムであって、前記電源端子と前記複数の電極との間に、整合回路網が接続されていない、基板処理システム。
[適用例22]
適用例1に記載の基板処理システムであって、前記第1電源からの電力が、前記電力の一部を前記複数の電極にそれぞれ供給するために分割される、基板処理システム。
[適用例23]
適用例1に記載の基板処理システムであって、前記第1インピーダンスセットおよび前記第2インピーダンスセットは、可変インダクタンスを備える、基板処理システム。
[適用例24]
適用例1に記載の基板処理システムであって、さらに、
前記処理チャンバと、
前記第1電源と、
前記第1インピーダンスセットおよび前記第2インピーダンスセットのインピーダンスを調節するよう構成されたコントローラと、
を備える、基板処理システム。
[適用例25]
処理チャンバ内で基板を処理するための基板処理システムであって、
前記基板を保持するよう構成された基板支持体であって、前記基板支持体は、複数の電極を備え、前記複数の電極は、第1電極、第2電極、および、第3電極を含む、基板支持体と、
前記第1電極と前記第3電極との間に接続された第1インピーダンスと、
前記第2電極と前記第3電極との間に接続された第2インピーダンスと、
を備え、
前記第1インピーダンスは、(i)前記第1電極と前記第2インピーダンスとの間、かつ、(ii)第1電源と前記第2インピーダンスとの間、に接続されており、
前記第2インピーダンスは、(i)前記第2電極と前記第1インピーダンスとの間、かつ、(ii)第2電源と前記第1インピーダンスとの間、に接続されており、
前記第1インピーダンスおよび前記第2インピーダンスは、(i)前記第1電源によって前記第1電極へ供給される第1信号、および、(ii)前記第2電源によって前記第2電極へ供給される第2信号、を調整することに割り当てられている、基板処理システム。
[適用例26]
適用例25に記載の基板処理システムであって、前記第1インピーダンスは、前記第2インピーダンスに直列接続されている、基板処理システム。
[適用例27]
適用例25に記載の基板処理システムであって、
前記第1インピーダンスは、前記第1電極と第3電源との間に接続されており、
前記第2インピーダンスは、前記第2電極と前記第3電源との間に接続されている、基板処理システム。
[適用例28]
適用例27に記載の基板処理システムであって、前記第3電源は、前記第3電極に接続されている、基板処理システム。
[適用例29]
適用例25に記載の基板処理システムであって、さらに、第3インピーダンスと、第4インピーダンスと、を備え、
前記第3インピーダンスは、(i)前記第1電極と前記第3電極との間、(ii)前記第1電極と前記第4インピーダンスとの間、かつ、(iii)前記第1電源と前記第4インピーダンスとの間、に接続されており、
前記第4インピーダンスは、(i)前記第2電極と前記第3電極との間、(ii)前記第2電極と前記第3インピーダンスとの間、かつ、(iii)前記第2電源と前記第3インピーダンスと間、に接続されており、
前記第3インピーダンスおよび前記第4インピーダンスは、(i)前記第1電源によって前記第1電極へ供給される前記第1信号、および、(ii)前記第2電源によって前記第2電極へ供給される前記第2信号、を調整することに割り当てられている、基板処理システム。
[適用例30]
適用例29に記載の基板処理システムであって、
前記第1インピーダンスおよび前記第3インピーダンスは、前記第1電極と第3電源との間に並列接続されており、
前記第2インピーダンスおよび前記第4インピーダンスは、前記第2電極と前記第3電源との間に並列接続されている、基板処理システム。
[適用例31]
適用例30に記載の基板処理システムであって、前記第3電源は、前記第3電極に接続されている、基板処理システム。
[適用例32]
適用例25に記載の基板処理システムであって、前記第1インピーダンスセットおよび前記第2インピーダンスセットは、可変インダクタンスを備える、基板処理システム。
[適用例33]
適用例25に記載の基板処理システムであって、さらに、
前記処理チャンバと、
前記第1電源と、
前記第1インピーダンスセットおよび前記第2インピーダンスセットのインピーダンスを調節するよう構成されたコントローラと、
を備える、基板処理システム。
[適用例34]
基板処理システムを動作させる方法であって、
処理を選択する工程と、
前記選択された処理のためのシステム動作パラメータを含むレシピを決定する工程と、
複数のアクチュエータを制御して、前記システム動作パラメータを設定する工程と、
前記選択された処理および前記システム動作パラメータに基づいて、第1チューニング回路のインピーダンス値を設定する工程であって、前記第1チューニング回路は、基板支持体内の第1電極に接続され、前記第1チューニング回路は、前記第1電極へ供給される信号を調整することに割り当てられ、前記第1チューニング回路は、
前記第1電極と第1電源との間に直列接続された第1インピーダンスセットであって、前記第1インピーダンスセットは、前記第1電源から第1信号を受信し、前記1または複数の信号は、前記第1信号を含む、第1インピーダンスセット、または、
前記第1電源の出力と基準端子との間に接続された第2インピーダンスセットであって、前記第2インピーダンスセットは、前記第1電源から前記第1信号を受信する、第2インピーダンスセット、の内の少なくとも一方を備える、工程と、
処理チャンバ内の前記基板支持体上に基板を配置する工程と、
前記第1電源から前記基板支持体内の複数の電極へ電力を供給する動作を含む、前記選択された処理のための処理動作を実行する工程であって、前記複数の電極は、前記第1電極および第2電極を含み、前記第1電極および前記第2電極は、電源端子を介して前記第1電源から電力を受信する、工程と、
を備える、方法。
[適用例35]
適用例34に記載の方法であって、さらに、前記処理動作を実行する間に、前記第1チューニング回路の前記インピーダンス値を調節する工程を備える、方法。
[適用例36]
適用例34に記載の方法であって、さらに、前記処理動作を実行する間に、
センサ出力データを収集する工程と、
前記センサ出力データに基づいて、1または複数のパラメータを決定する工程と、
前記1または複数のパラメータに基づいて、前記第1チューニング回路の前記インピーダンス値を調節する工程と、
を備える、方法。
[適用例37]
適用例34に記載の方法であって、さらに、
前記処理チャンバの特徴または特性を決定する工程と、
前記特徴または前記特性に基づいて、前記第1チューニング回路の前記インピーダンス値を設定する工程と、
を備える、方法。
[適用例38]
適用例34に記載の方法であって、さらに、
前記基板支持体の特徴または特性を決定する工程と、
前記特徴または前記特性に基づいて、前記第1チューニング回路の前記インピーダンス値を設定する工程と、
を備える、方法。
[適用例39]
適用例38に記載の方法であって、さらに、前記特性の変化に基づいて、前記第1インピーダンスセットまたは前記第2インピーダンスセットの少なくとも一方のインピーダンスを調節して、それぞれの軌跡に従わせる工程を備える、方法。
[適用例40]
適用例39に記載の方法であって、さらに、
前記特徴、
前記特性、
前記基板、前記基板支持体、または、前記処理チャンバの1または複数の他の特徴、ならびに、
前記基板、前記基板支持体、または、前記処理チャンバの1または複数の他の特性、
の内の少なくとも1つに基づいて、前記軌跡を計算または決定する工程を備える、方法。
[適用例41]
適用例34に記載の方法であって、さらに、
前記基板の特徴または特性を決定する工程と、
前記特徴または前記特性に基づいて、前記第1チューニング回路の前記インピーダンス値を設定する工程と、
を備える、方法。
[適用例42]
適用例34に記載の方法であって、さらに、
前記基板を前記基板支持体にクランプするために、前記第1電源によって前記第1電極へクランプ電圧を供給する工程と、
バイアス電圧を前記第2電極へ供給する工程と、
前記第1チューニング回路または第2チューニング回路によって前記クランプ電圧および前記バイアス電圧を調整する工程と、
を備え、
前記基板支持体は、静電チャックである、方法。
[適用例43]
適用例42に記載の方法であって、前記第1チューニング回路は、前記第1インピーダンスおよび前記第2インピーダンスを備える、方法。
[適用例44]
適用例42に記載の方法であって、さらに、
前記第1チューニング回路のインピーダンスの値を調節して、前記第1電極へ供給される前記クランプ電圧を調整する工程と、
前記第2チューニング回路のインピーダンスの値を調節して、前記第2電極へ供給される前記バイアス電圧を調整する工程と、
を備え、
前記基板支持体は、静電チャックである、方法。
[適用例45]
適用例36に記載の方法であって、さらに、前記第1チューニング回路の前記インピーダンス値を調節することにより、前記基板支持体の表面の上方の点および表面に沿った点のそれぞれのペアでのプラズマの電位差を調節する工程を備える、方法。
[適用例46]
適用例36に記載の方法であって、さらに、前記第1チューニング回路の前記インピーダンス値に基づいて、バイアス高周波整合回路網におけるインピーダンス値を調節する工程を備え、前記バイアス高周波整合回路網は、前記電源と前記第1チューニング回路との間に接続されている、方法。
[適用例47]
処理チャンバ内で基板を処理するための基板処理システムであって、
電源端子と、
前記基板を保持するよう構成された基板支持体であって、前記基板支持体は、複数の電極を備え、前記複数の電極は、第1電極および第2電極を含む、基板支持体と、
前記第1電極に接続され、前記第1電極のインピーダンスを調整することに割り当てられた第1チューニング回路であって、前記第1チューニング回路は、前記第1電極および接地に接続された第1インピーダンスセットを備える、第1チューニング回路と、
前記第2電極に接続され、前記第2電極のインピーダンスを調整することに割り当てられた第2チューニング回路であって、前記第2チューニング回路は、前記第2電極および前記接地に接続された第2インピーダンスセットを備える、第2チューニング回路と、
を備える、基板処理システム。
[適用例48]
適用例47に記載の基板処理システムであって、前記第1チューニング回路は、前記第1電極と前記接地との間に直列接続されている、基板処理システム。
[適用例49]
適用例48に記載の基板処理システムであって、前記第1チューニング回路は、インダクタおよびキャパシタを備える、基板処理システム。
[適用例50]
適用例49に記載の基板処理システムであって、前記第2チューニング回路は、前記第2電極と前記接地との間に直列接続されている、基板処理システム。
[適用例51]
適用例50に記載の基板処理システムであって、前記第2チューニング回路は、インダクタおよびキャパシタを備える、基板処理システム。
[適用例52]
適用例47に記載の基板処理システムであって、
前記第1チューニング回路は、前記第1電極と前記第2電極との間に接続され、
前記第1電極および前記第2電極は、前記接地に接続されている、基板処理システム。
[適用例53]
適用例52に記載の基板処理システムであって、さらに、
前記接地に接続された第3電極と、
前記第2電極と前記第3電極との間に接続された第3チューニング回路と、
を備える、基板処理システム。
[適用例54]
適用例53に記載の基板処理システムであって、
前記第1チューニング回路は、第1インダクタおよび第1キャパシタを備え、
前記第2チューニング回路は、第2インダクタおよび第2キャパシタを備える、基板処理システム。
[適用例55]
適用例47に記載の基板処理システムであって、前記第1チューニング回路および前記第2チューニング回路は、可変インダクタンスを備える、基板処理システム。
[適用例56]
適用例47に記載の基板処理システムであって、さらに、
前記処理チャンバと、
前記第1インピーダンスセットおよび前記第2インピーダンスセットのインピーダンスを調節するよう構成されたコントローラと、
を備える、基板処理システム。

Claims (30)

  1. 処理チャンバ内で基板を処理するための基板処理システムであって、
    前記基板を保持するよう構成された基板支持体であって、前記基板支持体は、複数の電極を備え、前記複数の電極は、第1電極、第2電極、および、第3電極を含む、基板支持体と、
    前記第1電極と前記第3電極との間に接続された第1インピーダンスと、
    前記第2電極と前記第3電極との間に接続された第2インピーダンスと、
    を備え、
    前記第1インピーダンスは、(i)前記第1電極と前記第2インピーダンスとの間、かつ、(ii)第1電源と前記第2インピーダンスとの間、に接続されており、
    前記第2インピーダンスは、(i)前記第2電極と前記第1インピーダンスとの間、かつ、(ii)第2電源と前記第1インピーダンスとの間、に接続されており、
    前記第1インピーダンスおよび前記第2インピーダンスは、(i)前記第1電源によって前記第1電極へ供給される第1信号、および、(ii)前記第2電源によって前記第2電極へ供給される第2信号、を調整することに割り当てられている、基板処理システム。
  2. 請求項に記載の基板処理システムであって、前記第1インピーダンスは、前記第2インピーダンスに直列接続されている、基板処理システム。
  3. 請求項に記載の基板処理システムであって、
    前記第1インピーダンスは、前記第1電極と第3電源との間に接続されており、
    前記第2インピーダンスは、前記第2電極と前記第3電源との間に接続されている、基板処理システム。
  4. 請求項に記載の基板処理システムであって、前記第3電源は、前記第3電極に接続されている、基板処理システム。
  5. 請求項に記載の基板処理システムであって、さらに、第3インピーダンスと、第4インピーダンスと、を備え、
    前記第3インピーダンスは、(i)前記第1電極と前記第3電極との間、(ii)前記第1電極と前記第4インピーダンスとの間、かつ、(iii)前記第1電源と前記第4インピーダンスとの間、に接続されており、
    前記第4インピーダンスは、(i)前記第2電極と前記第3電極との間、(ii)前記第2電極と前記第3インピーダンスとの間、かつ、(iii)前記第2電源と前記第3インピーダンスと間、に接続されており、
    前記第3インピーダンスおよび前記第4インピーダンスは、(i)前記第1電源によって前記第1電極へ供給される前記第1信号、および、(ii)前記第2電源によって前記第2電極へ供給される前記第2信号、を調整することに割り当てられている、基板処理システム。
  6. 請求項に記載の基板処理システムであって、
    前記第1インピーダンスおよび前記第3インピーダンスは、前記第1電極と第3電源との間に並列接続されており、
    前記第2インピーダンスおよび前記第4インピーダンスは、前記第2電極と前記第3電源との間に並列接続されている、基板処理システム。
  7. 請求項に記載の基板処理システムであって、前記第3電源は、前記第3電極に接続されている、基板処理システム。
  8. 請求項に記載の基板処理システムであって、前記第1インピーダンスセットおよび前記第2インピーダンスセットは、可変インダクタンスを備える、基板処理システム。
  9. 請求項に記載の基板処理システムであって、さらに、
    前記処理チャンバと、
    前記第1電源と、
    前記第1インピーダンスセットおよび前記第2インピーダンスセットのインピーダンスを調節するよう構成されたコントローラと、
    を備える、基板処理システム。
  10. 基板処理システムを動作させる方法であって、
    処理を選択する工程と、
    前記選択された処理のためのシステム動作パラメータを含むレシピを決定する工程と、
    複数のアクチュエータを制御して、前記システム動作パラメータを設定する工程と、
    前記選択された処理および前記システム動作パラメータに基づいて、第1チューニング回路のインピーダンス値を設定する工程であって、前記第1チューニング回路は、基板支持体内の第1電極に接続され、前記第1チューニング回路は、前記第1電極へ供給される1または複数の信号を調整することに割り当てられ、前記第1チューニング回路は、
    前記第1電極と第1電源との間に直列接続された第1インピーダンスセットであって、前記第1インピーダンスセットは、前記第1電源から第1信号を受信し、前記1または複数の信号は、前記第1信号を含む、第1インピーダンスセット、または、
    前記第1電源の出力と基準端子との間に接続された第2インピーダンスセットであって、前記第2インピーダンスセットは、前記第1電源から前記第1信号を受信する、第2インピーダンスセット、の内の少なくとも一方を備える、工程と、
    処理チャンバ内の前記基板支持体上に基板を配置する工程と、
    前記第1電源から前記基板支持体内の複数の電極へ電力を供給する動作を含む、前記選択された処理のための処理動作を実行する工程であって、前記複数の電極は、前記第1電極および第2電極を含み、前記第1電極および前記第2電極は、電源端子を介して前記第1電源から電力を受信する、工程と、
    を備える、方法。
  11. 請求項10に記載の方法であって、さらに、前記処理動作を実行する間に、前記第1チューニング回路の前記インピーダンス値を調節する工程を備える、方法。
  12. 請求項10に記載の方法であって、さらに、前記処理動作を実行する間に、
    センサ出力データを収集する工程と、
    前記センサ出力データに基づいて、1または複数のパラメータを決定する工程と、
    前記1または複数のパラメータに基づいて、前記第1チューニング回路の前記インピーダンス値を調節する工程と、
    を備える、方法。
  13. 請求項10に記載の方法であって、さらに、
    前記処理チャンバの特徴または特性を決定する工程と、
    前記特徴または前記特性に基づいて、前記第1チューニング回路の前記インピーダンス値を設定する工程と、
    を備える、方法。
  14. 請求項10に記載の方法であって、さらに、
    前記基板支持体の特徴または特性を決定する工程と、
    前記特徴または前記特性に基づいて、前記第1チューニング回路の前記インピーダンス値を設定する工程と、
    を備える、方法。
  15. 請求項14に記載の方法であって、さらに、前記特性の変化に基づいて、前記第1インピーダンスセットまたは前記第2インピーダンスセットの少なくとも一方のインピーダンスを調節して、それぞれの軌跡に従わせる工程を備える、方法。
  16. 請求項15に記載の方法であって、さらに、
    前記特徴、
    前記特性、
    前記基板、前記基板支持体、または、前記処理チャンバの1または複数の他の特徴、ならびに、
    前記基板、前記基板支持体、または、前記処理チャンバの1または複数の他の特性、
    の内の少なくとも1つに基づいて、前記軌跡を計算または決定する工程を備える、方法。
  17. 請求項10に記載の方法であって、さらに、
    前記基板の特徴または特性を決定する工程と、
    前記特徴または前記特性に基づいて、前記第1チューニング回路の前記インピーダンス値を設定する工程と、
    を備える、方法。
  18. 請求項10に記載の方法であって、さらに、
    前記基板を前記基板支持体にクランプするために、前記第1電源によって前記第1電極へクランプ電圧を供給する工程と、
    バイアス電圧を前記第2電極へ供給する工程と、
    前記第1チューニング回路または第2チューニング回路によって前記クランプ電圧および前記バイアス電圧を調整する工程と、
    を備え、
    前記基板支持体は、静電チャックである、方法。
  19. 請求項18に記載の方法であって、前記第1チューニング回路は、前記第1インピーダンスおよび前記第2インピーダンスを備える、方法。
  20. 請求項18に記載の方法であって、さらに、
    前記第1チューニング回路のインピーダンスの値を調節して、前記第1電極へ供給される前記クランプ電圧を調整する工程と、
    前記第2チューニング回路のインピーダンスの値を調節して、前記第2電極へ供給される前記バイアス電圧を調整する工程と、
    を備える、方法。
  21. 請求項12に記載の方法であって、さらに、前記第1チューニング回路の前記インピーダンス値を調節することにより、前記基板支持体の表面の上方の点および表面に沿った点のそれぞれのペアでのプラズマの電位差を調節する工程を備える、方法。
  22. 請求項12に記載の方法であって、さらに、前記第1チューニング回路の前記インピーダンス値に基づいて、バイアス高周波整合回路網におけるインピーダンス値を調節する工程を備え、前記バイアス高周波整合回路網は、前記第1電源と前記第1チューニング回路との間に接続されている、方法。
  23. 処理チャンバ内で基板を処理するための基板処理システムであって、
    電源端子と、
    前記基板を保持するよう構成された基板支持体であって、前記基板支持体は、複数の電極を備え、前記複数の電極は、第1電極、第2電極および第3電極を含む、基板支持体と、
    前記第1電極に接続され、前記第1電極のインピーダンスを調整することに割り当てられた第1チューニング回路であって、前記第1チューニング回路は、前記第1電極および接地に接続された第1インピーダンスセットを備え、前記第1チューニング回路は、前記第1電極と前記第2電極との間に接続され、前記第1電極、前記第2電極および前記第3電極は、前記接地に接続されている、第1チューニング回路と、
    前記第2電極に接続され、前記第2電極のインピーダンスを調整することに割り当てられた第2チューニング回路であって、前記第2チューニング回路は、前記第2電極および前記接地に接続された第2インピーダンスセットを備える、第2チューニング回路と、
    前記第2電極と前記第3電極との間に接続された第3チューニング回路と、
    を備える、基板処理システム。
  24. 請求項23に記載の基板処理システムであって、前記第1チューニング回路は、前記第1電極と前記接地との間に直列接続されている、基板処理システム。
  25. 請求項24に記載の基板処理システムであって、前記第1チューニング回路は、インダクタおよびキャパシタを備える、基板処理システム。
  26. 請求項25に記載の基板処理システムであって、前記第2チューニング回路は、前記第2電極と前記接地との間に直列接続されている、基板処理システム。
  27. 請求項26に記載の基板処理システムであって、前記第2チューニング回路は、インダクタおよびキャパシタを備える、基板処理システム。
  28. 請求項23に記載の基板処理システムであって、
    前記第1チューニング回路は、第1インダクタおよび第1キャパシタを備え、
    前記第2チューニング回路は、第2インダクタおよび第2キャパシタを備える、基板処理システム。
  29. 請求項23に記載の基板処理システムであって、前記第1チューニング回路および前記第2チューニング回路は、可変インダクタンスを備える、基板処理システム。
  30. 請求項23に記載の基板処理システムであって、さらに、
    前記処理チャンバと、
    前記第1インピーダンスセットおよび前記第2インピーダンスセットのインピーダンスを調節するよう構成されたコントローラと、
    を備える、基板処理システム。
JP2021505710A 2018-08-02 2019-07-30 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム Active JP7476169B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2024035429A JP2024056071A (ja) 2018-08-02 2024-03-08 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/052,877 US11183368B2 (en) 2018-08-02 2018-08-02 RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
US16/052,877 2018-08-02
PCT/US2019/044113 WO2020028347A1 (en) 2018-08-02 2019-07-30 Rf tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024035429A Division JP2024056071A (ja) 2018-08-02 2024-03-08 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム

Publications (2)

Publication Number Publication Date
JP2021533541A JP2021533541A (ja) 2021-12-02
JP7476169B2 true JP7476169B2 (ja) 2024-04-30

Family

ID=69227525

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021505710A Active JP7476169B2 (ja) 2018-08-02 2019-07-30 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム
JP2024035429A Pending JP2024056071A (ja) 2018-08-02 2024-03-08 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2024035429A Pending JP2024056071A (ja) 2018-08-02 2024-03-08 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム

Country Status (5)

Country Link
US (2) US11183368B2 (ja)
JP (2) JP7476169B2 (ja)
KR (5) KR102088594B1 (ja)
CN (1) CN112753089A (ja)
WO (1) WO2020028347A1 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
JP6971376B2 (ja) 2017-07-07 2021-11-24 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. プラズマ電力送達システムのための周期間制御システムおよびそれを動作させるための方法
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11990360B2 (en) 2018-01-31 2024-05-21 Lam Research Corporation Electrostatic chuck (ESC) pedestal voltage isolation
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
US11158488B2 (en) * 2019-06-26 2021-10-26 Mks Instruments, Inc. High speed synchronization of plasma source/bias power delivery
JP7362400B2 (ja) * 2019-10-01 2023-10-17 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP2023516739A (ja) * 2020-03-06 2023-04-20 ラム リサーチ コーポレーション 調節可能エッジシースシステム用のパルスrf信号における電圧設定点の調節
JP7411463B2 (ja) * 2020-03-17 2024-01-11 東京エレクトロン株式会社 検査方法及び検査装置
JP7344821B2 (ja) * 2020-03-17 2023-09-14 東京エレクトロン株式会社 プラズマ処理装置
US11380573B2 (en) * 2020-06-04 2022-07-05 Tokyo Electron Limited Structure for automatic in-situ replacement of a part of an electrostatic chuck
US11361940B2 (en) * 2020-10-13 2022-06-14 Applied Materials, Inc. Push-pull power supply for multi-mesh processing chambers
WO2022081535A1 (en) * 2020-10-16 2022-04-21 Lam Research Corporation Systems for controlling plasma density distribution profiles including multi-rf zoned substrate supports
WO2022099687A1 (en) * 2020-11-16 2022-05-19 Applied Materials, Inc. Methods and apparatus for zone control of rf bias for stress uniformity
WO2022173629A1 (en) * 2021-02-12 2022-08-18 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
KR20230158053A (ko) * 2021-03-16 2023-11-17 램 리써치 코포레이션 정전 척들을 위한 3 극성 (tripolar) 전극 배열
US11791138B2 (en) * 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11670532B1 (en) * 2021-12-06 2023-06-06 Applied Materials, Inc. System and method for controlling electrostatic clamping of multiple platens on a spinning disk
KR20240128086A (ko) * 2021-12-30 2024-08-23 램 리써치 코포레이션 급속 교번 프로세스들을 위한 고속 매칭 네트워크 임피던스 스위칭을 갖는 기판 프로세싱 툴
WO2023146864A1 (en) * 2022-01-31 2023-08-03 Lam Research Corporation Method and apparatus for radio frequency grid design in an esc to reduce film asymmetry
US20240186123A1 (en) * 2022-12-02 2024-06-06 Applied Materials, Inc. Heated Pedestal With Impedance Matching Radio Frequency (RF) Rod

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009123929A (ja) 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
JP2014082449A (ja) 2012-09-26 2014-05-08 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US20160372307A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
JP2017055100A (ja) 2015-07-13 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整
JP2018117024A (ja) 2017-01-17 2018-07-26 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07201496A (ja) * 1993-12-29 1995-08-04 Matsushita Electric Ind Co Ltd プラズマ発生方法及びプラズマ発生装置
JP3949186B2 (ja) * 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
JP3847363B2 (ja) * 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
TWI236055B (en) * 2003-09-05 2005-07-11 United Microelectronics Corp Plasma apparatus and method capable of adaptive impedance matching
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
US20170004925A1 (en) * 2012-02-03 2017-01-05 Comet Ag Power delivery systems and manufacturing equipment including a variable vacuum capacitor
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US20170110385A1 (en) * 2014-04-08 2017-04-20 Panasonic Intellectual Property Management Co., Ltd. Heat-conductive sheet and production method therefor
JP6424024B2 (ja) * 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US10121641B2 (en) * 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US11745302B2 (en) * 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) * 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US9954508B2 (en) 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US10283330B2 (en) 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US20180323039A1 (en) * 2017-05-05 2018-11-08 Applied Materials, Inc. Active far edge plasma tunability
US11289355B2 (en) * 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR102421625B1 (ko) * 2017-06-27 2022-07-19 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
US10515781B1 (en) * 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
KR20220100020A (ko) * 2019-11-15 2022-07-14 램 리써치 코포레이션 튜닝 회로들에서 주파수 기반 임피던스 조정
US11462388B2 (en) * 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009123929A (ja) 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
JP2014082449A (ja) 2012-09-26 2014-05-08 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
US20160372307A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
JP2017055100A (ja) 2015-07-13 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整
JP2018117024A (ja) 2017-01-17 2018-07-26 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
US11183368B2 (en) 2021-11-23
WO2020028347A1 (en) 2020-02-06
JP2021533541A (ja) 2021-12-02
KR102088594B1 (ko) 2020-05-18
KR102515809B1 (ko) 2023-03-29
KR20230043817A (ko) 2023-03-31
KR20200027940A (ko) 2020-03-13
KR20200015372A (ko) 2020-02-12
KR102249370B1 (ko) 2021-05-07
KR20220038046A (ko) 2022-03-25
US20200043703A1 (en) 2020-02-06
KR102592529B1 (ko) 2023-10-20
CN112753089A (zh) 2021-05-04
JP2024056071A (ja) 2024-04-19
TW202027123A (zh) 2020-07-16
KR20210050509A (ko) 2021-05-07
KR102377951B1 (ko) 2022-03-22
US20220044909A1 (en) 2022-02-10

Similar Documents

Publication Publication Date Title
JP7476169B2 (ja) 静電チャック内の電極のパラメータを設定および調節するためのインピーダンスを有するチューニング回路を備えたrfチューニングシステム
JP7321938B2 (ja) 周波数調整を用いたデュアルレベルパルス化のためのrf整合回路網内の補助回路
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
TWI752051B (zh) 用以防止電性發弧與點火並改善製程均勻性之具有特徵部的靜電夾頭
US20220375719A1 (en) Frequency based impedance adjustment in tuning circuits
JP2019029346A (ja) Rf環境内で加熱される構成要素のための高電力ケーブル
TWI850242B (zh) 基板處理系統及其操作方法
WO2021112991A1 (en) Substrate supports with integrated rf filters
CN115335976A (zh) 使用穿透光束激光传感器的原位晶片厚度和间隙监测
CN114514594A (zh) 包含预热喷头的低温等离子体增强化学气相沉积处理
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
TW202318921A (zh) 用於多頻率、多位準、多狀態脈波之包含rf匹配電路的基板處理系統

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210422

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230613

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231108

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20231121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240308

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20240318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240417

R150 Certificate of patent or registration of utility model

Ref document number: 7476169

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150