JP7171916B2 - モリブデン含有薄膜の製造方法およびこれにより製造されたモリブデン含有薄膜 - Google Patents

モリブデン含有薄膜の製造方法およびこれにより製造されたモリブデン含有薄膜 Download PDF

Info

Publication number
JP7171916B2
JP7171916B2 JP2021526238A JP2021526238A JP7171916B2 JP 7171916 B2 JP7171916 B2 JP 7171916B2 JP 2021526238 A JP2021526238 A JP 2021526238A JP 2021526238 A JP2021526238 A JP 2021526238A JP 7171916 B2 JP7171916 B2 JP 7171916B2
Authority
JP
Japan
Prior art keywords
molybdenum
thin film
containing thin
chemical formula
producing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021526238A
Other languages
English (en)
Other versions
JP2022510566A (ja
Inventor
ヨン ウン キム,
サン イク リ,
ジャン ウー セオ,
サン ヨン ジョン,
ヘン ドン リム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DNF Co Ltd
Original Assignee
DNF Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by DNF Co Ltd filed Critical DNF Co Ltd
Publication of JP2022510566A publication Critical patent/JP2022510566A/ja
Application granted granted Critical
Publication of JP7171916B2 publication Critical patent/JP7171916B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F11/00Compounds containing elements of Groups 6 or 16 of the Periodic Table
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Chemistry (AREA)

Description

本発明は、モリブデン含有薄膜の製造方法およびこれにより製造されたモリブデン含有薄膜に関し、より詳細には、薄膜蒸着前駆体として、モリブデン(0)系炭化水素化合物を特定の反応ガスであるヨード、ヨウ化アルキル(alkyl iodide)、ヨウ化シラン(silyl iodide)またはこれらの混合物と反応させてモリブデン含有薄膜を製造する方法およびこれにより製造されたモリブデン含有薄膜に関する。
モリブデンを含有する薄膜は、有機発光ダイオード、液晶ディスプレイ、プラズマディスプレイパネル、電界放出ディスプレイ、薄膜太陽電池、低抵抗オーミック(ohmic)、他の電子装置および半導体装置に使用可能であり、主に、バリア膜などの電子部品の部材として用いられている。
モリブデン、モリブデン酸化物およびモリブデン窒化物は、低抵抗、大きい仕事関数(work function)および熱的・化学的安定性により、様々な分野において広く使用されている。例えば、金属モリブデンは、15μΩcm以下の低い比抵抗を有しており、表示装置の配線として適用可能であり、モリブデン酸化物(MoO)は、異例的な金属‐擬似伝導性を示し、炭化水素酸化触媒、固体オキシド燃料電池(SOFC)アノード、および高(high)容量リバーシブルリチウムイオンバッテリー(LIB)アノードに適用可能であり、モリブデントリオキシド(MoO)は、エレクトロクロミック(electrochromic)特性および触媒特性を示し、ナノ構造のガスセンサおよび固体‐状態リチウムイオンバッテリーに適用可能である。
一方、半導体素子において、薄膜蒸着法として、分子ビーム成長法(Molecular Beam Epitaxy、MBE)、化学気相蒸着法(Chemical Vapor Deposition、CVD)、および物理気相蒸着法(Physical Vapor Deposition、PVD)などを用いた方法が研究されている。最近、半導体素子の少量化に伴ってデザインルールが減少したことによって、低温工程、精密な厚さ制御、薄膜の均一性および塗布性を満たす蒸着方法として、自己制限表面反応メカニズム(self‐limiting surface reaction mechanism)による原子層蒸着法(Atomic Layer Deposition、ALD)を用いた薄膜形成が広く研究されている。
モリブデン酸化物を含有する薄膜製造用の化学気相成長法用の原料として、モリブデンカルボニル[Mo(Co)]、モリブデンアセチルアセトネート、モリブデンクロライド(MoClまたはMoCl)、モリブデンフルオライド(MoF)、MoO(2,2,6,6‐テトラメチルヘプタン‐3,5‐ジオン)、ビスシクロペンタジエニルモリブデンジハイドライド、ビスメチルシクロペンタジエニルモリブデンジハイドライド、ビスエチルシクロペンタジエニルモリブデンジハイドライド、ビスイソプロピルシクロペンタジエニルモリブデンジハイドライド、ビスシクロペンタジエニルイミドモリブデンといった有機モリブデン化合物およびモリブデンオキシクロライド(MoOClまたはMoOCl)が報告されている。また、ALDによるモリブデンを含有する薄膜形成用原料として、モリブデンアミドイミド化合物が報告されている。
しかし、従来のモリブデン含有薄膜蒸着工程は、反応ガスとして酸素を使用しており、還元する工程が必ず必要になるという問題がある。
したがって、単純な工程で薄膜内の不純物の含量を低減し、純度の高いモリブデン含有薄膜を製造する方法が求められる。
本発明は、上記のような問題点を解決するために、モリブデン(0)系炭化水素化合物をモリブデン含有薄膜蒸着用前駆体として使用するとともに特定の反応ガスを使用するモリブデン含有薄膜の製造方法およびこれにより製造されたモリブデン含有薄膜を提供する。
また、本発明は、モリブデン(0)系炭化水素化合物および特定の反応ガスを含むモリブデン含有薄膜蒸着用組成物を提供する。
本発明は、モリブデン(0)系炭化水素化合物を前駆体で使用するとともに、特定の反応ガスを使用することで単純な工程で純度高いモリブデン含有薄膜の製造方法を提供しており、
本発明のモリブデン含有薄膜の製造方法は、
薄膜蒸着用前駆体として、モリブデン(0)系炭化水素化合物、および
反応ガスとして、ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用して、モリブデン含有薄膜を製造するステップを含むことを特徴とする。
本発明の一実施形態によるモリブデン含有薄膜の製造方法は、原子層蒸着(ALD)法、気相蒸着(CVD)法、有機金属化学気相蒸着法(MOCVD)、低圧気相蒸着法(LPCVD)、プラズマ強化気相蒸着法(PECVD)またはプラズマ強化原子層蒸着法(PEALD)により行われ得る。
好ましくは、本発明の一実施形態によるモリブデン含有薄膜の製造方法は
a)チャンバ内に取り付けられた基板の温度を80~500℃に維持するステップと、
b)キャリアガスおよびモリブデン(0)系炭化水素化合物を注入するステップと、
c)ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスを注入し、前記基板上にモリブデン含有薄膜を製造するステップとを含む。
好ましくは、本発明の一実施形態による反応ガスは、モリブデン(0)系炭化水素化合物1モルに対して0.1~200モル使用され得る。
本発明の一実施形態によるモリブデン含有薄膜の製造方法は、c)ステップの後、熱処理するステップをさらに含むことができ、熱処理は、200~700℃で行われ得る。
好ましくは、本発明の一実施形態によるモリブデン(0)系炭化水素化合物は、下記化学式1、化学式2または化学式3で表され得る。
[化学式1]
Figure 0007171916000001
前記化学式1中、R~Rは、互いに独立して、水素またはC1‐C7アルキルである。
[化学式2]
Figure 0007171916000002
[化学式3]
Figure 0007171916000003
前記化学式3中、RおよびRは、互いに独立して、水素またはC1‐C7アルキルである。
好ましくは、本発明の一実施形態による反応ガスは、I、CHI、CH、CHI、CHCHI、CHCHI、ICHCHI、CHCHCHI、CHCHICH、ICHCHCHIまたはSiHであってもよく、前記キャリアガスは、窒素(N)、水素、アルゴンおよびヘリウムから選択されるいずれか一つまたは二つ以上であってもよい。
また、本発明は、モリブデン(0)系炭化水素前駆体化合物と、ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスとを含むモリブデン含有薄膜蒸着用組成物を提供する。
好ましくは、本発明のモリブデン含有薄膜蒸着用組成物の一実施形態による反応ガスは、モリブデン(0)系炭化水素化合物1モルに対して0.1~200モル使用され得、モリブデン(0)系炭化水素化合物は前記化学式1、化学式2および化学式3で表されるモリブデン(0)系炭化水素化合物から選択されるいずれか一つまたは二つ以上であってもよい。
好ましくは、本発明のモリブデン含有薄膜蒸着用組成物の一実施形態による反応ガスは I、CHI、CH、CHI、CHCHI、CHCHI、ICHCHI、CHCHCHI、CHCHICH、ICHCHCHまたはSiHであってもよい。
また、本発明は、本発明の一実施形態による前記モリブデン含有薄膜蒸着用組成物を用いて製造されたモリブデン含有薄膜を提供し、前記製造されたモリブデン含有薄膜は、比抵抗が200μΩ・cm以下であり、酸素の含量が10%以下であってもよい。
好ましくは、本発明の一実施形態による本発明のモリブデン含有薄膜は、炭素の含量が30%以下であってもよい。
本発明のモリブデン含有薄膜の製造方法は、薄膜蒸着用前駆体として、モリブデン(0)系炭化水素化合物を使用し、この際、特定の反応ガスであるヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスを使用することで、酸素を含まないことから別の還元工程を必要とせず、簡単な工程でモリブデン含有薄膜を製造することができる。
また、本発明のモリブデン含有薄膜の製造方法は、薄膜厚さ2nm以下で均一な表面の薄膜を製造することができ、高い段差被覆性(step coverage)とボイド(void)なしにキャップフィル(gap fill)を可能にする。
また、本発明のモリブデン含有薄膜の製造方法は、反応ガスとして酸素を使用せず、ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用することで、炭素、酸素、水素などの不純物の含量が最小化し、薄膜の純度が驚くほど高い。
また、本発明のモリブデン含有薄膜の製造方法は、様々なモリブデン(0)系炭化水素化合物を前駆体として使用可能である。
また、本発明のモリブデン含有薄膜蒸着用組成物は、反応ガスとして、特定の化合物であるヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用することで、これを用いたモリブデン含有薄膜の形成時に、優れた段差被覆性およびキャップフィルを有し、密度が高い高純度の薄膜を容易に得ることができる。
本発明による製造方法により製造されたモリブデン含有薄膜は、半導体素子の小型化による高いアスペクト比を有するトレンチ、コンテックまたはビアパターンに対して、均一且つ優れた段差被覆性(step coverage)を有して蒸着され得る。
実施例1で蒸着されたモリブデン含有薄膜のX線回折分析結果を示す図である。
本発明のモリブデン含有薄膜の製造方法、モリブデン含有薄膜蒸着用組成物およびこれを用いて製造されたモリブデン含有薄膜について、以下で詳述するが、この際、使用される技術用語および科学用語において他の定義がなければ、本発明が属する技術分野において通常の知識を有する者が通常理解している意味を有し、下記の説明で本発明の要旨を不明瞭にし得る公知の機能および構成に関する説明は省略する。
本明細書に記載の「アルキル」、およびその他、「アルキル」部分を含む置換体は、直鎖状または分岐鎖状をすべて含み、1~10個の炭素原子、好ましくは1~7、より好ましくは1~3の炭素原子を有する。
また、本明細書に記載の「アルケン化合物」は、非環式または環式の炭化水素化合物であり、一つ以上の二重結合を含む炭化水素から誘導された有機ラジカルであり、
「ヘテロアルケン擬似化合物」は、アルケン化合物に一つ以上のヘテロ原子を含むアルケン化合物であり、非環式または環式であってもよく、ヘテロ原子は、窒素、酸素、硫黄、リンなどから選択され得るが、好ましくは、酸素または窒素であってもよく、酸素または窒素が一つまたは二つ以上含まれ得る。
本明細書に記載のカルボニル含有化合物は、モリブデン(0)系炭化水素化合物のリガンドとして使用可能であり、カルボニル基を有する化合物であればいずれも可能であるが、好ましい一例として、COまたはアセチルアセトネートであってもよく、これに限定されない。
本発明は、モリブデン(0)系炭化水素化合物を前駆体として使用し、特定の反応ガスであるヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用することで、別の還元工程を必要とせず、単純な工程で高純度のモリブデン含有薄膜を製造する方法を提供し、薄膜厚さ2nm以下で均一な表面の薄膜を製造することができ、高い段差被覆性とボイド(void)なしにキャップフィルを可能にする。
本発明のモリブデン含有薄膜の製造方法は、
薄膜蒸着用前駆体として、モリブデン(0)系炭化水素化合物、および
反応ガスとして、ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用して、モリブデン含有薄膜を製造するステップを含む。
本発明のモリブデン含有薄膜の製造方法は、従来使用している反応ガスである酸素を使用せず、高純度の薄膜を製造することができ、薄膜に含まれた酸素を除去するための別の還元工程を必要とせず、簡単な工程でモリブデン含有薄膜を製造することができる。
本発明の一実施形態によるモリブデン含有薄膜の製造方法は、原子層蒸着(ALD)法、気相蒸着(CVD)法、有機金属化学気相蒸着法(MOCVD)、低圧気相蒸着法(LPCVD)、プラズマ強化気相蒸着法(PECVD)またはプラズマ強化原子層蒸着法(PEALD)により行われ得、高い純度および優れた物性を有するための面で、好ましくは、原子層蒸着(ALD)法または気相蒸着(CVD)法であってもよい。
本発明のモリブデン含有薄膜の製造方法は、前駆体であるモリブデン(0)系炭化水素化合物をヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスと反応させて、モリブデン含有薄膜を製造する方法であれば、限定されないが、好ましくは、本発明の一実施形態によるモリブデン含有薄膜の製造方法は、
a)チャンバ内に取り付けられた基板の温度を80~500℃に維持するステップと、
b)キャリアガスおよびモリブデン(0)系炭化水素化合物を注入するステップと、
c)ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスを注入し、前記基板上にモリブデン含有薄膜を製造するステップとを含むことができる。
本発明の一実施形態によるモリブデン含有薄膜の製造方法において、前記前駆体として使用されるモリブデン(0)系炭化水素化合物は、常温常圧で液相の化合物であり、薄膜蒸着のために加熱などの方法でガス状態に変化させて、工程チャンバに投入されてもよい。
本発明の一実施形態によるモリブデン含有薄膜の製造方法において、前記反応ガスは、加熱などの方法でガス状態に変化させて、モリブデン(0)系炭化水素化合物が吸着された基板が存在する工程チャンバに投入され得る。
本発明の一実施形態によるモリブデン含有薄膜の製造方法において、モリブデン(0)系炭化水素化合物および反応ガスは、互いに有機的にもしくは互いに独立して、チャンバに供給されてもよい。また、モリブデン(0)系炭化水素化合物および反応ガスは、それぞれ連続的にもしくは不連続的にチャンバに供給されてもよく、不連続的供給は、パルス(pulse)形態を含むことができる。
本発明の一実施形態によるモリブデン含有薄膜の製造方法において、b)ステップおよび/またはc)ステップの後、未反応のモリブデン(0)系炭化水素化合物ガスや副生したガスまたは未反応の反応ガスを排気するために、チャンバ内に不活性ガスを供給してパージ(purging)するステップがさらに行われ得ることは言うまでもない。前記不活性ガスは、窒素(N)、アルゴンおよびヘリウムから選択されるいずれか一つまたは二つ以上であってもよい。
すなわち、本発明の一実施形態による製造方法は、a)チャンバ内に取り付けられた基板の温度を80~500℃に維持するステップと、b)キャリアガスおよびモリブデン(0)系炭化水素化合物を注入するステップと、d1)不活性ガスを用いて、チャンバの内部をパージするパージステップと、c)ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスを注入し、前記基板上にモリブデン含有薄膜を製造するステップと、d2)不活性ガスを用いて、チャンバの内部をパージするパージステップとを含むことができる。
本発明の一実施形態による基板は、当業者が認識する範囲内の使用可能なものであればいずれも可能であり、基板の温度も限定されるものではないが、好ましくは、200~400℃であってもよく、前記温度範囲は、前駆体として使用されるモリブデン(0)系炭化水素化合物自体の分解特性および反応ガスとして使用されるヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物のような他の物質との反応特性に起因する温度範囲である。
本発明の一実施形態において、使用可能な基板は、Si、Ge、SiGe、GaP、GaAs、SiC、SiGeC、InAsおよびInPのうち一つ以上の半導体材料を含む基板;SOI(Silicon On Insulator)基板;石英基板;またはディスプレイ用ガラス基板;ポリイミド(polyimide)、ポリエチレンテレフタレート(PET、PolyEthylene Terephthalate)、ポリエチレンナフタレート(PEN、PolyEthylene Naphthalate)、ポリメチルメタクリレート(PMMA、Poly Methyl MethAcrylate)、ポリカーボネート(PC、PolyCarbonate)、ポリエーテルスルホン(PES)、ポリエステル(Polyester)などの可撓性プラスチック基板;タングステン基板であってもよいが、これに限定されるものではない。
本発明の一実施形態によるモリブデン含有薄膜の製造方法は、モリブデン(0)系炭化水素化合物を前駆体として使用し、ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスを使用する以外に該当する薄膜蒸着の条件は、目的とする薄膜の構造または熱的特性によって調節され得る。
本発明の一実施形態による蒸着条件としては、前駆体であるモリブデン(0)系炭化水素化合物の投入流量、キャリアガスの投入流量、圧力、RFパワー、基板温度などが挙げられ、かかる蒸着条件の非限定的な一例としては、モリブデン(0)系炭化水素化合物の投入流量は1~1000cc/min、キャリアガスは1~1000cc/min、反応ガスの流量は1~1000cc/min、圧力は0.1~100torr、RFパワーは200~1000Wおよび基板温度は80~500℃、好ましくは200~400℃の範囲に調節され得るが、これに限定されるものではない。
好ましくは、本発明の一実施形態による反応ガスは、モリブデン(0)系炭化水素化合物1モルに対して0.1~200モル使用され得、これに制限されるものではなく、薄膜蒸着条件によって調節可能である。一例として、原子層蒸着(ALD)法またはプラズマ強化原子層蒸着法(PEALD)の場合、好ましくは、モリブデン(0)系炭化水素化合物1モルに対して、10~100モル、より好ましくは10~50モル、さらに好ましくは10~30モル使用され得る。
本発明の一実施形態によるモリブデン含有薄膜の製造方法は、c)ステップの後、熱処理するステップをさらに含むことができ、熱処理は、200~700℃、30分~4時間、好ましくは300~600℃、1時間~2時間行われ、水素雰囲気下で行われ得る。
本発明の一実施形態によるモリブデン(0)系炭化水素化合物は、モリブデン含有薄膜蒸着用前駆体として使用可能なモリブデン(0)系炭化水素化合物であればいずれも可能であるが、反応ガスであるヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物との好ましい組み合わせとしては、モリブデン(0)系炭化水素化合物は、下記化学式1、化学式2または化学式3で表され得る。
[化学式1]
Figure 0007171916000004
前記化学式1中、R~Rは、互いに独立して、水素またはC1‐C7アルキルである。
[化学式2]
Figure 0007171916000005
[化学式3]
Figure 0007171916000006
前記化学式3中、RおよびRは、互いに独立して、水素またはC1‐C7アルキルである。
より好ましくは、本発明の一実施形態によるモリブデン(0)系炭化水素化合物は、下記の構造から選択される化合物であってもよく、これに限定されるものではない。
Figure 0007171916000007
好ましくは、本発明の一実施形態による反応ガスは、I、CHI、CH、CHI、CHCHI、CHCHI、ICHCHI、CHCHCHI、CHCHICH、ICHCHCHIまたはSiHであってもよく、より好ましくは、CHCHI、CH、CH、ICHCHCHIまたはSiHであってもよい。
本発明の一実施形態によるモリブデン含有薄膜の製造方法において、モリブデン(0)系炭化水素化合物は、キャリアガスとともにチャンバに供給され得る。具体的には、キャリアガスは、窒素(N)、水素、アルゴンおよびヘリウムから選択されるいずれか一つまたは二つ以上であってもよく、本発明の特定の反応ガスとの好ましい組み合わせとして、窒素(N)、アルゴンおよびヘリウムから選択されるいずれか一つまたは二つ以上の不活性ガスであってもよい。
モリブデン含有薄膜は、モリブデン前駆体を気相供給してモリブデン含有薄膜を製造する本技術分野において、当業者が認識可能な範囲内で製造可能な薄膜であればいずれも可能である。具体的且つ実質的な一例として、モリブデン含有薄膜は、通常、導電性を有するモリブデン、モリブデン酸化膜、これらの混成膜であってもよく、その他にも、当業者が認識可能な範囲内でモリブデンを含有する高品質の様々な薄膜を製造することができる。
また、本発明は、モリブデン(0)系炭化水素前駆体化合物およびヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスを含むモリブデン含有薄膜蒸着用組成物を提供する。
好ましくは、本発明のモリブデン含有薄膜蒸着用組成物の一実施形態による反応ガスは、モリブデン(0)系炭化水素化合物1モルに対して、0.1~200モル、好ましくは10~100モル、より好ましくは10~50モル、さらに好ましくは10~30モル使用されてもよく、モリブデン(0)系炭化水素化合物は、前記化学式1、化学式2および化学式3で表されるモリブデン(0)系炭化水素化合物から選択されるいずれか一つまたは二つ以上であってもよい。
好ましくは、本発明のモリブデン含有薄膜蒸着用組成物の一実施形態による反応ガスは、I、CHI、CH、CHI、CHCHI、CHCHI、ICHCHI、CHCHCHI、CHCHICH、ICHCHCHIまたはSiHであってもよい。
また、本発明は、本発明の一実施形態による前記モリブデン含有薄膜蒸着用組成物を用いて製造されたモリブデン含有薄膜を提供し、前記製造されたモリブデン含有薄膜は、比抵抗が200μΩ・cm以下であり、酸素の含量が10%以下、好ましくは6%以下であってもよい。
好ましくは、本発明の一実施形態によるモリブデン含有薄膜は、炭素の含量が30%以下、好ましくは26%以下であってもよい。
本発明のモリブデン含有薄膜は、モリブデン(0)系炭化水素化合物と特定の反応ガスであるヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用することで、高純度・高密度および高い耐久性を有するモリブデン含有薄膜を、簡単な工程で製造可能である。また、モリブデン含有薄膜の製造時に、反応ガスとして、酸素ではなく、ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用することで、蒸着工程中に下部膜を酸化させないことができ、形成された後にも、モリブデン含有薄膜の下部膜を酸化させないことができる。これにより、下部膜との界面に形成された酸化物によるモリブデン含有薄膜と下部膜との接触抵抗の増加を防止することができる。
また、モリブデン含有薄膜の製造時に、モリブデン(0)系炭化水素化合物と特定の反応ガスであるヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用することで、結晶品質を向上させて、薄膜の比抵抗を200μΩ・cm以下、好ましくは150μΩ・cm以下、より好ましくは110μΩ・cm以下に減少させることができ、薄膜内酸素の含量を10%以下、好ましくは6%以下に減少させることができる。
以下、本発明を下記の実施例によってより具体的に説明する。その前に、本明細書および請求の範囲で用いられた用語や単語は、通常的もしくは辞書的な意味に限定して解釈してはならず、発明者らは、自分の発明を最善の方法で説明するために、用語の概念を適切に定義することができるという原則に則って、本発明の技術的思想に合致する意味と概念で解釈すべきである。
したがって、本明細書に記載の実施例と図面に図示されている構成は、本発明の最も好ましい一実施形態に過ぎないだけであって、本発明の技術的な思想をすべて代弁することではないため、本出願時点においてこれらを代替できる様々な均等物と変形例があることを理解すべきである。
また、以下のすべての実施例は、商用化したシャワーヘッド方式の200mm枚葉式(single wafer type)ALD装備(CN1、Atomic Premium)を使用して、公知の原子層蒸着法(ALD)を用いて行った。
蒸着されたモリブデン含有薄膜は、シート抵抗測定装置(4pointprobe、DASOLENG、ARMS‐200C)を用いて比抵抗を測定し、透過電子顕微鏡(Transmission Electron Microscope、FEI(Netherlands)Tecnai GF30S‐Twin)を通じて厚さを測定し、X線光電子分析を用いて、薄膜の組成を分析した。
[実施例1]モリブデン含有薄膜の製造
モリブデン含有前駆体として
Figure 0007171916000008
(化合物1)を使用し、反応ガスとしてジヨードメタン(CH)を使用して、原子層蒸着法(Atomic layer deposition)によってモリブデン含有薄膜を形成した。
先ず、シリコン酸化膜基板は250℃に維持し、化合物1をステンレススチールバブラー容器に充填し、70℃に維持した。ステンレススチールバブラー容器内で蒸気化した化合物1を、アルゴンガス(50sccm)をキャリアガスとして、シリコン酸化膜基板に1秒間(0.0003g)移送されシリコン酸化膜基板に吸着されるようにした。次に、アルゴンガス(4000sccm)を用いて、10秒間未反応の化合物1を除去した。次に、90℃に加熱されたジヨードメタン(CH)を0.5秒間(0.00625g)供給し、モリブデン含有薄膜を形成した。最後に、アルゴンガス(4000sccm)を用いて、30秒間反応副産物および残留反応ガスを除去した。反応ガス(ジヨードメタン)は、モリブデン含有前駆体(化合物1)1モルに対して22.2モル使用された。上記のような工程を1周期として、800周期を繰り返してモリブデン含有薄膜を形成した。詳細な反応条件は、下記表1に示した。
前記形成されたモリブデン薄膜は、水素雰囲気下で、500℃で2時間熱処理した。
[比較例1]モリブデン含有薄膜の製造
実施例1で反応ガスとしてジヨードメタンを使用した以外は、反応ガスなしに実施例1と同様に実施してモリブデン含有薄膜を製造し、具体的なモリブデン含有薄膜の蒸着条件は、下記表1に示した。
前記形成されたモリブデン薄膜は、水素雰囲気下で、500℃で2時間熱処理した。
Figure 0007171916000009
前記実施例1と比較例2で製造されたモリブデン含有薄膜の厚さとシート抵抗で計算された比抵抗およびX線光電子分析法による組成を下記表2に示した。
Figure 0007171916000010
前記表2に示されているように、反応ガスとしてヨウ化アルキルを使用した実施例1で蒸着されたモリブデン含有薄膜の比抵抗は、108μΩcmであり、反応ガスとしてヨウ化アルキルを使用していない比較例1で蒸着されたモリブデン含有薄膜の3分の1水準の低い数値を示した。
また、反応ガスとしてヨウ化アルキルを使用した実施例1のモリブデン含有薄膜は、X線光電子分析の結果、薄膜内のモリブデンが69.3%であり、約70%含有されていることを確認することができ、薄膜内の酸素含量が5%であり、ヨウ化アルキルを反応ガスとして使用していない比較例1に比べて、薄膜内の酸素含量が著しく低いことを確認することができた。
また、実施例1で蒸着されたモリブデン含有薄膜のX線回折分析結果を図1に図示し、これにより蒸着されたモリブデン含有薄膜は、MoC相とMo相として確認された。

Claims (11)

  1. 薄膜蒸着用前駆体として、下記化学式1、化学式2または化学式3で表されるモリブデン(0)系炭化水素化合物を使用し、
    反応ガスとして、ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を使用して、モリブデン含有薄膜を製造するステップを含む、モリブデン含有薄膜の製造方法。
    [化学式1]
    Figure 0007171916000011
    前記化学式1中、R ~R は、互いに独立して、水素またはC1‐C7アルキルである。
    [化学式2]
    Figure 0007171916000012
    [化学式3]
    Figure 0007171916000013
    前記化学式3中、R およびR は、互いに独立して、水素またはC1‐C7アルキルである。
  2. 原子層蒸着(ALD)法、気相蒸着(CVD)法、有機金属化学気相蒸着法(MOCVD)、低圧気相蒸着法(LPCVD)、プラズマ強化気相蒸着法(PECVD)またはプラズマ強化原子層蒸着法(PEALD)により行われる、請求項1に記載のモリブデン含有薄膜の製造方法。
  3. a)チャンバ内に取り付けられた基板の温度を80~500℃に維持するステップと、
    b)キャリアガスおよびモリブデン(0)系炭化水素化合物を注入するステップと、
    c)ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物である反応ガスを注入し、前記基板上にモリブデン含有薄膜を製造するステップとを含む、請求項1に記載のモリブデン含有薄膜の製造方法。
  4. 前記反応ガスは、モリブデン(0)系炭化水素化合物1モルに対して0.1~200モル使用される、請求項1に記載のモリブデン含有薄膜の製造方法。
  5. 前記c)ステップの後、熱処理するステップをさらに含む、請求項3に記載のモリブデン含有薄膜の製造方法。
  6. 前記熱処理は、200~700℃で行われる、請求項5に記載のモリブデン含有薄膜の製造方法。
  7. 前記反応ガスは、I、CHI、CH、CHI、CHCHI、CHCHI、ICHCHI、CHCHCHI、CHCHICH、ICHCHCHIまたはSiHである、請求項1に記載のモリブデン含有薄膜の製造方法。
  8. 前記キャリアガスは、窒素、水素、アルゴンおよびヘリウムから選択されるいずれか一つまたは二つ以上である、請求項3に記載のモリブデン含有薄膜の製造方法。
  9. 下記化学式1、化学式2または化学式3で表されるモリブデン(0)系炭化水素前駆体化合物と、
    反応ガスとして、ヨード、(C1‐C3)ヨウ化アルキル、ヨウ化シランまたはこれらの混合物を含む、モリブデン含有薄膜蒸着用組成物。
    [化学式1]
    Figure 0007171916000014
    前記化学式1中、R ~R は、互いに独立して、水素またはC1‐C7アルキルである。
    [化学式2]
    Figure 0007171916000015
    [化学式3]
    Figure 0007171916000016
    前記化学式3中、R およびR は、互いに独立して、水素またはC1‐C7アルキルである。
  10. 前記反応ガスは、モリブデン(0)系炭化水素化合物1モルに対して0.1~200モル使用される、請求項に記載のモリブデン含有薄膜蒸着用組成物。
  11. 前記反応ガスは、I、CHI、CH、CHI、CHCHI、CHCHI、ICHCHI、CHCHCHI、CHCHICH、ICHCHCHIまたはSiHである、請求項に記載のモリブデン含有薄膜蒸着用組成物。
JP2021526238A 2018-11-14 2019-11-13 モリブデン含有薄膜の製造方法およびこれにより製造されたモリブデン含有薄膜 Active JP7171916B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2018-0140266 2018-11-14
KR1020180140266A KR102355507B1 (ko) 2018-11-14 2018-11-14 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
PCT/KR2019/015399 WO2020101336A1 (ko) 2018-11-14 2019-11-13 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴 함유 박막

Publications (2)

Publication Number Publication Date
JP2022510566A JP2022510566A (ja) 2022-01-27
JP7171916B2 true JP7171916B2 (ja) 2022-11-15

Family

ID=70731647

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021526238A Active JP7171916B2 (ja) 2018-11-14 2019-11-13 モリブデン含有薄膜の製造方法およびこれにより製造されたモリブデン含有薄膜

Country Status (6)

Country Link
US (1) US11459653B2 (ja)
JP (1) JP7171916B2 (ja)
KR (1) KR102355507B1 (ja)
CN (1) CN112969814B (ja)
TW (1) TWI723630B (ja)
WO (1) WO2020101336A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
KR20210158678A (ko) * 2020-06-24 2021-12-31 솔브레인 주식회사 박막 형성용 프리커서, 이의 제조방법 및 이를 포함하는 박막 제조 방법
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US20230002888A1 (en) * 2021-07-01 2023-01-05 Applied Materials, Inc. Method of depositing metal films
WO2023086298A1 (en) * 2021-11-10 2023-05-19 Entegris, Inc. Molybdenum precursor compounds
WO2023096216A1 (ko) * 2021-11-25 2023-06-01 솔브레인 주식회사 막질 개선제, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
US20230227975A1 (en) * 2021-12-30 2023-07-20 Applied Materials, Inc. Method of depositing metal films
WO2023250500A1 (en) * 2022-06-23 2023-12-28 Lam Research Corporation Plasma enhanced low temperature atomic layer deposition of metals
WO2024081263A1 (en) * 2022-10-13 2024-04-18 Lam Research Corporation Deposition of metal-containing films

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018035375A (ja) 2016-08-29 2018-03-08 株式会社Adeka 原子層堆積法による金属薄膜の製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB787076A (en) * 1954-10-20 1957-12-04 Ohio Commw Eng Co Improvements in or relating to gas plating
US3458341A (en) * 1964-08-10 1969-07-29 Gen Electric Metal boride-metal carbide-graphite deposition
GB1107620A (en) * 1966-03-29 1968-03-27 Matsushita Electronics Corp Method of manufacturing semiconductor devices
GB1275339A (en) * 1970-06-04 1972-05-24 Gen Technologies Corp Process of plating by pyrolytic deposition
JPH01238027A (ja) * 1988-03-18 1989-09-22 Mitsubishi Kasei Corp 硫化亜鉛膜の製造法
US5869134A (en) * 1996-06-21 1999-02-09 International Business Machines Corporation CVD of metals capable of receiving nickel or alloys thereof using iodide
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
US7041596B1 (en) * 2004-04-08 2006-05-09 Novellus Systems, Inc. Surface treatment using iodine plasma to improve metal deposition
US7547464B2 (en) * 2005-01-19 2009-06-16 Praxair Technology, Inc. Organometallic precursor compounds
WO2012012026A2 (en) * 2010-07-22 2012-01-26 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal film deposition
US9721754B2 (en) * 2011-04-26 2017-08-01 Carl Zeiss Smt Gmbh Method and apparatus for processing a substrate with a focused particle beam
JP6209168B2 (ja) 2012-01-26 2017-10-04 シグマ−アルドリッチ・カンパニー、エルエルシー モリブデンアリル錯体及び薄膜堆積におけるその使用
KR101381646B1 (ko) * 2012-04-30 2014-04-14 한국에너지기술연구원 동시기화법을 이용한 코어-쉘 구조의 금속-탄소 복합체의 제조방법 및 이에 의해 제조된 코어-쉘 구조의 금속-탄소 복합체
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
CN106460170B (zh) 2014-03-13 2019-12-06 默克专利股份有限公司 甲硅烷基环戊二烯基钼和甲硅烷基烯丙基配合物及其在薄膜沉积中的应用
US20160133837A1 (en) * 2014-11-12 2016-05-12 Intermolecular Inc. Low-Temperature Deposition of Metal Silicon Nitrides from Silicon Halide Precursors
JP2019510877A (ja) * 2016-02-19 2019-04-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung モリブデンカルボニル前駆体を使用したモリブデン薄膜の蒸着
CN105957970B (zh) * 2016-05-30 2018-03-30 哈尔滨工业大学 一种大尺寸单晶钙钛矿薄膜的制备方法
US10510590B2 (en) * 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR101973549B1 (ko) * 2017-04-19 2019-04-29 영남대학교 산학협력단 루테늄 박막 형성 방법
KR102374140B1 (ko) * 2017-11-01 2022-03-17 (주)디엔에프 루테늄함유 박막의 제조방법 및 이로부터 제조된 루테늄함유 박막

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018035375A (ja) 2016-08-29 2018-03-08 株式会社Adeka 原子層堆積法による金属薄膜の製造方法

Also Published As

Publication number Publication date
KR102355507B1 (ko) 2022-01-27
WO2020101336A1 (ko) 2020-05-22
TW202028523A (zh) 2020-08-01
US20220018017A1 (en) 2022-01-20
TWI723630B (zh) 2021-04-01
JP2022510566A (ja) 2022-01-27
KR20200056543A (ko) 2020-05-25
US11459653B2 (en) 2022-10-04
CN112969814B (zh) 2023-04-11
CN112969814A (zh) 2021-06-15

Similar Documents

Publication Publication Date Title
JP7171916B2 (ja) モリブデン含有薄膜の製造方法およびこれにより製造されたモリブデン含有薄膜
TWI591198B (zh) 用於沉積第13族金屬或類金屬氮化物膜的方法
JP7355746B2 (ja) ルテニウム含有薄膜の製造方法およびこれにより製造されたルテニウム含有薄膜
US11390635B2 (en) Composition for depositing silicon-containing thin film and method for producing silicon-containing thin film using the same
TWI756699B (zh) 用於薄膜沉積的新穎第v及vi族過渡金屬前驅物
KR20110041498A (ko) 기재 상에 탄탈-함유 층의 형성 방법
US11643728B2 (en) Atomic layer deposition and etching of transition metal dichalcogenide thin films
TWI752701B (zh) 銦前體化合物、利用該銦前體化合物的薄膜的製備方法及由此製備的基板
US20230212196A1 (en) Indium compound, method of producing the same, composition for depositing indium-containing thin film, and indium-containing thin film
TW202414533A (zh) 在含過渡金屬二硫化物及/或二硒化物之二維薄膜的生長期間原位生成H2S或H2Se
CN118234890A (zh) 膜质改善剂、利用其的薄膜形成方法、由此制造的半导体基板及半导体器件
WO2022076521A1 (en) Indium precursors for vapor depositions
TW202402774A (zh) 供化學氣相沉積(cvd)及原子層沉積(ald)應用之具有磷基配位體之金屬羰基錯合物
CN115874165A (zh) 一种铜薄膜的低温原子层沉积制备方法
KR20240055685A (ko) 화학적 마스킹제, 박막 제조방법, 반도체 기판 및 반도체 소자
KR20210052027A (ko) 실리콘 전구체 화합물, 이를 포함하는 박막 및 그 박막의 제조방법
KR20210023086A (ko) 신규한 실릴사이클로다이실라잔 화합물 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220615

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220822

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221026

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221102

R150 Certificate of patent or registration of utility model

Ref document number: 7171916

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150