JP7111460B2 - メサ間の領域の深さが異なる基板支持体および対応する温度依存の加工方法 - Google Patents

メサ間の領域の深さが異なる基板支持体および対応する温度依存の加工方法 Download PDF

Info

Publication number
JP7111460B2
JP7111460B2 JP2017224113A JP2017224113A JP7111460B2 JP 7111460 B2 JP7111460 B2 JP 7111460B2 JP 2017224113 A JP2017224113 A JP 2017224113A JP 2017224113 A JP2017224113 A JP 2017224113A JP 7111460 B2 JP7111460 B2 JP 7111460B2
Authority
JP
Japan
Prior art keywords
substrate support
mesas
substrate
region
mesa
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017224113A
Other languages
English (en)
Other versions
JP2018098497A (ja
JP2018098497A5 (ja
Inventor
アン・エリクソン
キース・ギャフ
デビン・ラムダット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018098497A publication Critical patent/JP2018098497A/ja
Publication of JP2018098497A5 publication Critical patent/JP2018098497A5/ja
Application granted granted Critical
Publication of JP7111460B2 publication Critical patent/JP7111460B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Bipolar Transistors (AREA)

Description

本開示は、基板処理システムの基板支持体に関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板のエッチング、蒸着、および/または、その他の処理を実行するために、基板処理システムが利用されうる。基板に実行されうる処理の例は、プラズマ強化化学蒸着(PECVD)処理、物理蒸着(PVD)処理、イオン注入処理、ならびに/もしくは、その他のエッチング、蒸着、および、洗浄処理を含むが、これらに限定されない。基板は、基板処理システムの処理チャンバ内の基板支持体(ペデスタル、静電チャック(ESC)など)上に配置されうる。例えば、PECVD処理のエッチング中、1または複数の前駆体を含むガス混合物が、処理チャンバに導入され、プラズマが基板上に材料を蒸着するために点火される。
方法が提供されており:基板または基板支持体の支持プレートにおける温度分布パターンを決定する工程と;温度分布パターンに基づいて、支持プレートの上面に塗布するマスクの数を決定する工程であって、マスクの数は2以上である工程と;温度分布パターンに基づいて、マスクのパターンを決定する工程と;上面の上にマスクを塗布する工程とを備える。方法は、さらに:マスクによって保護されていない支持プレートの部分を除去して、第1メサと、第1メサの間の第1凹部領域とを形成するために、第1機械加工処理を実行する工程と;マスクの内の第1マスクを支持プレートから除去する工程と;第2凹部領域と、第2メサおよび第1シールバンド領域の少なくとも一方とを形成するために、第2機械加工処理を実行する工程と;マスクの内の第2マスクを支持プレートから除去する工程とを備える。
別の特徴において、基板処理システムのための基板支持体が提供されている。基板支持体は、本体およびメサを備える。メサは、本体にわたって分散され、本体から離れる方向に本体から伸び、基板を支持するよう構成されている。メサの各々は、基板と接触して支持する表面領域を備える。メサの各々は、本体の底部に対して同じ高さを有する。メサの間の領域の深さは、基板の処理中に経験される基板または基板支持体のベースプレートの温度分布パターンに従ってサイズが異なる。
別の特徴において、方法が提供されており:基板または基板支持体の支持プレートにおける温度分布パターンを決定する工程と;温度分布パターンに基づいて、(i)機械加工ツールが支持プレート上を通る速度、または、(ii)機械加工ツールが支持プレートの対応する領域上で費やす期間の少なくとも一方を決定する工程と;決定された速度または期間に基づいて、支持プレート上の第1位置から支持プレート上の第2位置まで機械加工ツールを移動させる工程とを備える。方法は、さらに、機械加工ツールを移動させる間に、支持プレートの上面を機械加工して、メサと、第1位置および第2位置の間の凹部領域の深さの勾配とを提供する工程を備えており、メサは、支持プレートの底部に対して同じ高さを有する。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示の一実施形態に従って、基板支持体を組み込んだ基板処理システムの一例を示す機能ブロック図。
本開示に従って、基板支持体を含む静電チャックの一例を示す側断面図。
本開示の一実施形態に従って、基板支持体コントローラを備えた基板支持体製造システムの一例を示す図。
本開示の一実施形態に従って、基板支持体加工方法の一例を示す図。
冷却流路を備えたベースプレートの水平断面図。
本開示の一実施形態に従って、シールバンドおよびメサを備えた基板支持体の一例を示す上面図。
本開示の一実施形態に従って、基板支持体の一部の上のマスクの一例を示す上面図。
本開示の一実施形態に従って、基板支持体の支持プレートの上面領域の一例を示す上面斜視図。
本開示の一実施形態に従って、複数のマスクが塗布された支持プレートの一例を示す上面図。
図9AのA-A線によって表された平面に沿った側断面図。
マスクの1つが除去された後の図9Aの支持プレートを示す上面図。
図10AのB-B線によって表された平面に沿った側断面図。
本開示の一実施形態に従って、カスタム局所マスクの例を示す支持プレートの上面図
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
高電力エッチングチャンバでは、プラズマの存在下で基板の所定の温度を維持するために、基板支持体が用いられる。基板支持体は、供給された電力に関連する熱を放散する。熱放散がエッチング処理中に基板にわたって均一であるほど、エッチング処理の結果が良好になる。エッチング処理中、基板は、基板支持体上の誘電体エッチングチャンバ内に保持され、ここで、基板は、高出力プラズマの存在下で所定の温度だけ加熱される。プラズマと基板支持体の冷却とに関連する不均一性により、基板における平均温度は、プラズマ入力電力に応じて、例えば、5~20℃だけ変化しうる。プロセス変動により基板処理がどれだけ温度に敏感であるかは、結果として得られる基板が所定の構築要件を満たすか否かに影響する。この理由から、これらのプロセス変動は、温度の変化に非常に敏感である基板処理の歩留まりに悪影響を及ぼしうる。
温度感受性を低減および/または基板の温度均一性を改善するための1つの技術は、温度均一性を最大化するために基板支持体内の流体流路を介して冷却のパターンを提供することを含む。温度感受性を低減および/または基板の温度均一性を改善するための別の技術は、誘電体層と基板支持体のベースプレートとの間に熱ボンド層を均一に形成することを含む。これら2つの技術には、制限がある。基板支持体の冷却流路パターンは、(i)基板支持体のベースプレートの寸法および構成、(ii)基板支持体内の加熱素子および/またはセンサを含む電気接続のレイアウト、および、(iii)冷却ガスフィードスルーによって制限され、これらが、冷却流体流路に利用可能な位置を限定する。また、冷却流体が基板支持体のベースプレートを通過する時に、冷却流体の温度が変化するので、冷却材流入口付近に低温スポットおよび冷却材流出口付近に高温スポットが発生しうる。例えば、冷却材流入口および/または冷却材流出口に関連する低温スポットおよび高温スポットを補償するために、熱ボンド層の厚さを局所的に制御することはできない。冷却材流路パターンおよび熱ボンド層の厚さの調整に関連する効果が限られているため、基板にわたって5~20℃の温度のばらつきが、高電力エッチングチャンバに存在しうる。
以下に記載する例は、基板全体にわたる温度(「ウエハ内温度」とも呼ばれる)の均一性を改善するよう設計された基板支持体を含む。メサおよびシールバンドの高さならびにメサ間の領域の深さが、(i)「チャンバ不均一性」と呼ばれる基板におけるプラズマパターンの不均一性および(ii)基板の冷却の不均一性などの不均一性を補償するように設定される。基板全体にわたるプラズマパターンは、基板全体にわたるプラズマ濃度レベルと関連しうるため、基板の加熱パターンに直接関係する。
図1は、RFプラズマを用いて基板を処理する基板処理システムの一例100を示す。
図1は、容量結合プラズマ(CCP)システムを示しているが、本明細書に開示する実施形態は、基板支持体を備えたトランス結合プラズマ(TCP)システム、電子サイクロトロン共鳴(ECR)プラズマシステム、誘導結合プラズマ(ICP)システム、ならびに/もしくは、その他のシステムおよびプラズマ源に適用可能である。実施形態は、物理蒸着(PVD)処理、プラズマ強化化学蒸着(PECVD)処理、化学強化プラズマ蒸着(CEPVD)処理、イオン注入処理、ならびに/もしくは、その他のエッチング、蒸着、および、洗浄処理に適用可能である。
基板処理システム100は、処理チャンバ102を備える。処理チャンバ102は、処理チャンバ102の他の構成要素を収容し、RFプラズマを閉じ込める。処理チャンバ102は、上側電極104と、基板支持体106(例えば、ESC)と、を備える。動作中、基板108が、基板支持体106上に配置される。基板支持体106は、最小接触面積(MCA)フィーチャ107を備える。MCAフィーチャ107の各々は、「メサ」と呼んでもよい。メサ107は、基板支持体106の上部(すなわち、基板108に最も近い部分)に渡って分散されており、基板支持体106のベースプレート110から上方に伸びる。メサ107は、基板108の背面に接触して支持する。基板108は、基板支持体106上に静電的にクランプされてよい。メサ107は、基板支持体106の誘電体層、半導電層、または、上部金属層の一部として一体的に形成されてよい。基板支持体106は、任意の数のメサを備えてよい。
後に詳述するように、基板108に対するメサ107の接触表面積は、基板支持体106の半径(または基板支持体106の中心からの距離)ひいては基板108の半径(または基板108の中心からの距離)と共に増加する。これは、基板108が基板支持体の中心にあるからである。メサの直径、表面積、サイズ、および/または、数は、基板支持体106の中心からの半径方向距離が増大すると共に、基板支持体106の上部側面の単位面積ごとに増加してよい。一実施形態において、メサの接触表面積および数は、基板支持体106の中心からの半径方向距離が増加しても変化しない。メサ107は、図6、図9A、および、図10Aに示すように円筒形であってよい、および/または、異なる形状(例えば、半球形または部分球形)であってよい。メサ107の構造および形成については、図2~図11に関して後に詳述する。
単に例として、上側電極104は、ガスを導入して分散させるシャワーヘッド109を備えてよい。シャワーヘッド109は、処理チャンバ102の上面に接続された一端を備えるステム部分111を備えてよい。シャワーヘッド109は、略円筒形であり、処理チャンバ102の上面から離れた位置でステム部分111の反対側の端部から半径方向外向きに広がる。シャワーヘッド109の基板対向面は、処理ガスまたはパージガスが流れる穴を備える。あるいは、上側電極104は、導電性のプレートを備えてもよく、ガスは、別の方法で導入されてもよい。
基板支持体106は、下側電極として機能する導電性のベースプレート110を備える。ベースプレート110は、支持プレート112を支持しており、支持プレート112は、少なくとも部分的にセラミック材料で形成されてよい。高電力誘電体エッチングの実施形態において、支持プレート112は、加熱プレートではない。低電力導電体エッチングの実施形態において、支持プレート112は、加熱プレートであってよい。熱抵抗層114が、支持プレート112とベースプレート110との間に配置されてよい。ベースプレート110は、ベースプレート110に冷却材を流すための1または複数の冷却材流路116を備えてよい。
RF発生システム120が、RF電圧を生成して、上側電極104および下側電極(例えば、基板支持体106のベースプレート110)の一方に出力する。上側電極104およびベースプレート110のもう一方は、DC接地、AC接地されるか、または、浮遊電位にあってよい。単に例として、RF発生システム120は、RF電圧を発生させる1または複数のRF発生器122(例えば、容量結合プラズマ高周波(RF)電力発生器、バイアスRF電力発生器、および/または、その他のRF電力発生器)を備えてよく、RF電圧は、整合/配電回路網124によって上側電極104および/またはベースプレート110に供給される。一例として、プラズマRF発生器123、バイアスRF発生器125、プラズマRF整合回路網127、および、バイアスRF整合回路網129が示されている。プラズマRF発生器123は、例えば、6~10キロワット(kW)の電力を生成する高電力RF発生器であってよい。
ガス供給システム130は、1または複数のガス源132-1、132-2、・・・、および、132-N(集合的に、ガス源132)を備えており、ここで、Nはゼロより大きい整数である。ガス源132は、1または複数の前駆体およびそれらの混合物を供給する。ガス源132は、パージガスを供給してもよい。気化した前駆体が用いられてもよい。ガス源132は、バルブ134-1、134-2、・・・、および、134-N(集合的に、バルブ134)ならびにマスフローコントローラ136-1、136-2、・・・、および、136-N(集合的に、マスフローコントローラ136)によってマニホルド140に接続されている。マニホルド140の出力は、処理チャンバ102に供給される。単に例として、マニホルド140の出力は、シャワーヘッド109に供給される。
基板処理システム100は、支持プレート112内に配置された複数のTCE144(アクチュエータと呼ばれることもある)に接続されうる温度コントローラ142を備えた冷却システム141を備える。一実施形態において、TCE144は備えられない。システムコントローラ160とは別個に図示されているが、温度コントローラ142は、システムコントローラ160の一部として実装されてもよい。一例として、複数のTCE144は、支持プレート112の各マクロ区画に対応するそれぞれのマクロTCE(すなわち、第1アレイのTCE)および/または支持プレート112の各マイクロ区画に対応するマイクロTCE(すなわち、第2アレイのTCE)を含みうるが、これらに限定されない。マクロTCEは、支持プレート112のマクロ区画の温度および/またはその他のフィールドを粗調整するために用いられてよい。マイクロTCEは、支持プレートのマイクロ区画の温度および/またはその他のフィールドを微調整するために用いられてよい。マクロ区画は、マイクロ区画を含んでよい。1または複数のマイクロ区画が、マクロ区画の内の2以上と重複してもよい。マクロ区画およびマイクロ区画は、所定の形状、一致する形状、異なる形状、または、任意の形状を有してよい。
支持プレート112は、複数の温度制御された区画(例えば、4つの区画、ここで、各区画は、4つの温度センサを備える)を備える。温度制御された区画の各々は、対応するマクロおよび/またはマイクロTCEを有する。マクロTCEは、それぞれの温度制御された区画の各々で、選択された温度を粗く達成するように制御される。マイクロTCEは、それぞれの温度制御された区画内で、温度を細かく調整する、および/または、各温度制御された区画内の温度不均一性を補償するように、個別に制御されてよい。例えば、マクロTCEの各設定温度について、支持プレート112の上面全体にわたる温度分布応答が、既知であってマッピング(すなわち、メモリに格納)されてよい。同様に、支持プレート112の表面全体にわたるマイクロTCEの各々の温度分布応答が、既知であってマッピングされてよい。本明細書に開示のシステムおよび方法は、マルチ区画支持プレートおよび/または非加熱ESCに関して説明されているが、本開示の原理は、基板処理システムのその他の温度制御される構成要素に適用されてもよい。
温度コントローラ142は、基板支持体106および基板支持体106上の基板(例えば、基板108)の温度を制御するために、各TCE144の動作ひいては温度を制御してよい。温度コントローラ142は、(i)流路116を通る第1冷却材の流れ(冷却流体の圧力および流量)を制御するための第1冷却材アセンブリ146、ならびに、(ii)その他の流路(1つの流路148が図示されている)を通ってメサ107の間の領域を通る第2冷却材の流れ(例えば、冷却ガスの圧力および流量)を制御するための第2冷却材アセンブリ147と通信してよい。第1冷却材アセンブリ146は、リザーバ(図示せず)から冷却流体を受け入れてよい。第2冷却材アセンブリ147は、マニホルド140からガスを受け入れてよい。例えば、冷却材アセンブリ146は、冷却材ポンプおよびリザーバを備えてよい。温度コントローラ142は、基板支持体106および支持プレート112を冷却するために流路116を通して冷却材を選択的に流すように、冷却材アセンブリ146を作動させる。温度コントローラ142は、冷却材が流れる速度と、冷却材の温度とを制御してよい。温度コントローラ142は、処理チャンバ102内のセンサから検出されたパラメータに基づいて、TCE144に供給される電流と、流路116に供給される冷却材の圧力および流量とを制御する。エッチング処理中、基板108は、高電力プラズマの存在下で所定の温度(例えば、摂氏120度(120℃))だけ加熱しうる。流路を通る冷却材の流れが、基板支持体106の温度を下げ、これが、基板108の温度を下げる(例えば、120℃から80℃に冷却)。
バルブ156およびポンプ158が、処理チャンバ102から反応物質を排出するために用いられてよい。システムコントローラ160は、供給されるRF電力レベル、供給されるガスの圧力および流量、RF整合などを制御することを含め、基板処理システム100の構成要素を制御してよい。システムコントローラ160は、バルブ156およびポンプ158の状態を制御する。ロボット170が、基板支持体106上へ基板を供給すると共に、基板支持体106から基板を除去するために用いられてよい。例えば、ロボット170は、基板支持体106およびロードロック172の間で基板を搬送してよい。ロボット170は、システムコントローラ160によって制御されてよい。システムコントローラ160は、ロードロック172の動作を制御してよい。
図2は、ベースプレート202、熱ボンド層204、および、支持プレート206を備えた基板支持体200を示す。支持プレート206は、基板支持体200の最上層であってよい。支持プレート206は、本体207およびメサ208を備えており、図1の支持プレート112と同様の電極および/または加熱素子を備えてよい。基板210が、メサ208の上部接触面の上に接触して配置される。メサ208は、支持プレート206の最上層であってよい。メサ208は、支持プレート206ひいては基板210にわたって同じ平均温度を提供すしつつ、基板支持体200の冷却およびプラズマチャンバ内のプラズマに関連する不均一性による温度のばらつきを最小化するよう構成される。
一実施形態において、メサ208は、支持プレート206の底部(すなわち底面)211に対して同じ高さを有しており、その結果、メサ208の最上面は同じ高さにある。メサ208の最上面と本体207の底面との間の距離は、支持プレート206にわたって同じである。陥凹面213上方の領域212の深さは、図に示すように、メサ208の間で異なってよい。また、メサ208の内の特定のメサの垂直面の長さは異なっていてよく、メサの内の他のメサの垂直面の長さは異なっていなくてよい。長さとは、メサ208の側面の垂直寸法のことである。一例として、メサ218の側面214、216の長さ(すなわち垂直寸法)が異なっている。例えば、側面214の長さがD1であり、側面216の長さがD2であるとする。深さD1~D4が示されているが、任意の数の異なる深さがメサ208間に存在してよい。一例として、深さは、矢印224で示すように、冷却材流路入口220の上方に位置する第1位置から冷却材流路出口222の上方に位置する第2位置へ、支持プレート206にわたって半径方向にサイズが減少してよい。
ベースプレート202は、図1のベースプレート110と同様の電極、加熱素子、冷却材流路(例えば、冷却流路209が図示されている)などを備えてよい。冷却材は、矢印228で示すように、冷却材流路入口220で受け入れられ、矢印230で示すように、冷却材流路出口222でベースプレート202から出力される。単一の冷却材流路入口および単一の冷却材流路出口が図示されているが、それぞれ任意の数だけ備えられてよい。また、冷却材流路入口220および冷却材流路出口222は、ベースプレート202の外周付近に示されているが、冷却材流路入口220および冷却材流路出口222は、他の位置にあってもよい。例えば、図5を参照のこと。
メサ208間の領域212の深さは、図に示すように、冷却材流路出口の近くおよび上ではより小さく、冷却材流路入口の近くおよび上ではより大きくてよい。これにより、より小さい深さを有するメサ208間の領域よりも、より大きい深さを有するメサ208間の領域で、通過する冷却ガス(例えば、ヘリウム)の体積が大きくなる。冷却ガスの体積が大きいと、さらなる冷却が提供される。冷却ガスは、ベースプレート202、熱ボンド層204、および、支持プレート206内の流路(1つの流路232が図示されている)を介して供給されて戻りうる。流路232に入力されるガスは、矢印234で示されている。メサ208は、異なる圧力の冷却ガスを受け入れる2以上の区画を備えるように区画分けされてよい。この一例については、図6および図8に関して図示および説明する。矢印236は、基板支持体200の温度が概してメサ208からベースプレート202へ向かって減少するように、熱エネルギが基板210から基板支持体200を通して伝達されることを示す。
一例として、支持プレート206は、セラミックおよび/またはその他の適切な誘電材料で形成されてよい。熱ボンド層204は、接着材料を含んでよい。ベースプレート202は、アルミニウムおよび/またはその他の適切な材料で形成されてよい。支持プレート206は、熱ボンド層204を介してベースプレート202によって冷却される。熱が、基板210から支持プレート206へ、そして、支持プレート206からベースプレート202へ伝導され、ベースプレート202は、流路209によって規定されたパターンで流路209を通る冷却流体によって冷却される。基板の温度の不均一性は、冷却処理における各工程に関連しうる。例えば、不均一性は、以下と関係しうる:ベースプレート内の冷却流路、支持プレートとベースプレートとの間に提供された熱ボンド層および対応する熱ボンド、および/または、支持プレートの厚さ。本明細書で開示されるメサの構成およびメサ間の領域の深さは、対応する支持プレートの少なくとも上側部分ひいてはその上に配置された基板における温度のばらつきへの不均一性の影響を最小化する。
図3は、コンピュータ272、機械加工システム274、および、センサ275を備えた基板支持体製造システム270を示している。コンピュータ272は、基板支持体コントローラ276およびメモリ278を備える。基板支持体コントローラ276は、温度分布コントローラ279、ベースプレート冷却パターンコントローラ280、基板支持体冷却パターンモジュール282、圧力コントローラ284、プラズマコントローラ285、不規則温度コントローラ286、面密度コントローラ288、深さコントローラ290、メサ構成コントローラ292、マスクパターンコントローラ294、加工コントローラ296、および、ソフト研磨コントローラ298を備えてよい。コントローラ279、280、282、284、286、288、290、292、296、298の動作については、図4の方法に関して後述する。
メモリ278は、パラメータ299、メサパターン300、温度分布データ301、ベースプレート冷却パターン302、支持プレート冷却パターン303、熱伝導データ304、プラズマパターン305、不規則温度データ306などを格納する。パラメータ299、パターン300、302、303、305、ならびに、データ301、304、および、306は、基板支持体のモデルに対応してよく、および/または、テーブルの一部として格納されてよく、履歴、所定、推定、および/または、シミュレートされた値を含んでよい。履歴、所定、推定、および/または、シミュレートされた値は、1または複数の処理システムレシピに従って動作する1または複数の基板支持体のためのものであってよい。
パラメータ299は、図1の処理システム100のセンサによって検出されたパラメータ、ならびに/もしくは、図4の方法の間に利用、推定、および/または、決定されたパラメータを含んでよい。センサ275は、図1の処理システム100のセンサ、温度検知アレイ、熱流束プローブ、チャンバ内赤外線カメラ、および/または、本明細書に開示されたその他のセンサを含んでよい。メサパターンは、以下を含んでよい:メサの接触表面領域の形状およびサイズ;基板支持体の各所定の局所領域におけるメサの数;メサの高さ;基板支持体全体にわたるメサの位置(またはレイアウト);所定の局所領域の面密度;および/または、基板支持体の中心から外縁までの面密度の変動。
温度分布データ301は、支持プレートおよび/または基板全体にわたる温度を含んでよい。ベースプレート冷却パターン302は、ベースプレートにわたって提供された冷却のレベルを示す値を含んでよい。支持プレート冷却パターン303は、支持プレートにわたって提供された冷却のレベルを示す値を含んでよい。熱伝導データ304は、支持プレートのメサ間の領域に冷却ガスを通した結果として、支持プレート全体にわたる熱伝導の量を示す値を含んでよい。プラズマパターン305は、基板全体にわたるプラズマの濃度レベル、電圧、および/または、電力レベルを含んでよい。不規則温度データ306は、支持プレート全体にわたる低温スポット/高温スポットの場所および低温スポット/高温スポットの温度を示してよい。上述したパターンおよびデータは、(i)所定の値、ならびに/もしくは、(ii)基板の処理中に存在する測定、推定、および/または、実際の値を指しうる。
機械加工システム274は、コンピュータ数値制御(CNC)フライス盤、ローレット加工機、成型機、鋳造機、三次元(3D)プリンタ、ならびに/もしくは、基板支持体を加工するのに適切なその他の機械および/または装置を含んでよい。機械加工システム274は、基板支持体318を加工するために用いられる機械加工コントローラ310、機械加工ツール312、マスク塗布コントローラ314、および、マスク塗布装置316を備えてよい。機械加工コントローラ310およびマスク塗布コントローラ314は、インターフェース320を介してコンピュータ272から、パラメータ299、パターン300、302、303、305、および、データ301、304、306を受信してよい。コントローラ310、314は、基板支持体318を加工するために、機械加工ツール312およびマスク塗布装置316の動作を制御する。機械加工ツール312は、CNCフライスツールおよび/またはその他の適切な機械加工ツールを含んでよい。
図1および図3のコントローラのさらに明確な構造については、後述の図4の方法と、「コントローラ」という用語についての後述の定義とを参照すること。本明細書で開示されるシステムは、多くの方法を用いて動作されてよく、方法の一例が図4に示されている。図4では、基板支持体加工方法が示されている。以下の動作は、主に図2~図11の実施例に関して記載されているが、本開示のその他の実施例に適用するために容易に変形されうる。動作は、反復して実行されてもよい。
方法は、動作400で開始しうる。動作402で、温度分布コントローラ278は、(i)基板支持プレート(例えば、支持プレート206または本明細書に開示のその他の支持プレート)全体にわたる温度分布パターンを決定してよい、および/または、(ii)基板の温度分布パターンを推定してよい。これは、メモリ278に格納される温度分布データ301を提供しうる。温度分布データは、例えば、支持プレートの上および/または中に配置された熱流束プローブおよび/または温度センサを利用し、温度を検出するためのテストを行うことで決定されてよい。さらに、および/または、代わりに、チャンバ内赤外線カメラが、温度を検出して、印加された熱負荷下での温度分布データを提供するために用いられてもよい。
動作404で、ベースプレート冷却パターンコントローラ280は、基板支持体のベースプレート内の冷却流路に関連するベースプレート冷却パターンを決定してよい。これは、メモリ278に格納されるベースプレート冷却パターン302を提供しうる。
動作406で、基板支持体冷却パターンモジュール282は、冷却流路と支持プレートとの間の任意の熱抵抗材料の均一性パターンと関連して、支持プレート冷却パターンを決定してよい。これは、(i)メサ間の領域の均一および/または不均一な冷却パターン、および/または、(ii)シールバンド間の領域の均一および/または不均一な冷却パターンを含んでよい。シールバンド領域の例を、図6および図8に示す。動作406は、メモリ278に格納される支持プレート冷却パターン303を提供しうる。支持プレート自体がヒータを含まない場合、冷却の均一性を測定するために、外部熱負荷が適用されてよい。
動作408で、圧力コントローラ284は、基板支持体のメサ間の領域および/またはシールバンド領域におけるガス分布、熱伝導、および/または、ガス圧に関連する熱伝導分布パターンを決定してよい。基板支持体は、1または複数のシールバンド領域を備えてよい。シールバンド領域におけるガス圧は、(i)シールバンド領域の外側、および、(ii)支持プレートのメサ間の領域におけるガス圧とは異なっていてよい。各シールバンド領域は、それぞれのガス圧を有してよい。動作408は、熱伝導データ304を提供しうる。
動作409で、プラズマコントローラは、支持プレート全体にわたるプラズマパターンを決定してよい。これは、メモリ278に格納されるプラズマパターン305を提供しうる。動作410で、不規則温度コントローラ286は、支持プレートにおける低温スポットおよび/または高温スポットを特定してよい。これは、メモリ278に格納される不規則温度データ306を提供しうる。
動作412で、面密度コントローラ288は、基板支持体および/または基板支持体の所定の局所領域におけるメサの面密度を決定する。メサの面密度とは、基板支持体の局所領域におけるメサの総接触面積のことである。例えば、支持プレートの局所的な接触領域に対する面密度は、局所領域内にあるメサの上面領域の総接触面積を局所領域の面積で割ったものに等しい。例えば、局所領域に対する面密度は、(i)局所領域内のメサの面積a~dの部分の合計を、(ii)局所領域の面積で割ったもの(すなわち、(a+b+c+d)/πr )(ここで、rは、局所領域の半径)に等しい。特定された局所領域内に存在しない面積a~dの部分は、合計に含められない。
メサは、メサの面密度が基板支持体の半径と共に単調および/または線形に増加するように、スケーリングおよび構成されてよい。面密度コントローラ288は、1または複数の局所領域に対する面密度γを推定してよい。式1が、面密度γを推定するために用いられてよく、ここで、AMCAは、対応する局所領域内の総メサ接触表面積であり、Aoは、対応する局所領域の総側方局所表面積である。
γ=AMCA/Ao (1)
一実施形態において、基板支持体の面密度は、基板支持体の中心から基板支持体の外周縁および/または最外周縁に向かって増大する。面密度は、基板支持体の中心からの半径方向距離が増大するにつれ、単調および/または線形に増加してよい。一実施形態において、メサの基板接触表面積および/または直径は、中心から周縁に向かって大きくなり、したがって、基板および/または基板支持体の半径と共に大きくなる。メサの接触表面積のサイズを半径と共に増大させる代わりに、または、それに加えて、基板の上部側表面積単位あたり、および/または、基板支持体の局所領域あたりのメサの数が、基板および/または基板支持体の半径と共に増加してもよい。
動作414で、メサ構成コントローラ292は、メサ間の領域の深さを決定するなど、基板支持体のメサのためのメサ構成および/またはメサパターンを決定する。動作414Aで、メサ構成コントローラ292は、1または複数の決定された面密度に基づいて、メサの接触表面領域の形状およびサイズを決定してよい。メサは、円筒形状、半球形状、または、部分球形状であってよい、ならびに/もしくは、その他の形状を有してもよい。メサは、均一な形状であってもよいし、様々な形状を有してもよい。メサの基板表面積は、基板の中心から離れたメサの方が基板の中心に近いメサよりも大きい基板表面積を有するように増加してよい。追加的または代替的に、メサの数は、基板支持体の半径の増大と共に増加してよい。動作414Aは、メサ構成コントローラ292がメサの高さを決定することを含んでよい。
工程414Bで、メサ構成コントローラ292は、基板支持体全体にわたるメサの数、位置、および、パターンを決定する。異なる処理RF電力レベルおよび条件による基板膨張の量に応じて、異なるパターンの面密度の増加が実施されてもよい。これらのパターンの各々は、基板と基板支持体との間の接触圧およびメサの上側周縁での応力集中を最小化するなど、基板にわたって最小かつ均一な摩耗を提供するために、基板支持体半径と共に単調および/または線形的に増加する面密度を備えてよい。
メサ間の領域のサイズおよび形状ならびに/もしくは基板支持体の局所領域の半径は、領域および/または局所領域における基板の垂直たわみを最小化するように最小化および/または設定されてよい。メサ間の領域のサイズおよび形状ならびに/もしくは基板支持体の局所領域の半径は、メサの外周縁の決定された半径に基づいて、または、決定された半径に適合するように設定されてよい。メサの外周縁の決定された半径は、メサ間の領域のサイズおよび形状ならびに/もしくは基板支持体の局所領域の半径に基づいて、動作414Aで決定されてよい。
動作414Cで、深さコントローラ290は、メサ間の領域の深さを決定する。これは、動作402、404、406、408、409、410、412、414A、および/または、414Bの間に決定された情報に基づいてなされてよい。深さは、支持プレートの温度と反比例してよい。例えば、深さは、外部熱負荷の存在下での冷却能力の不均一性を補償するために、高温を経験する領域よりも低温を経験する領域で大きい。一実施形態において、深さは、冷却材流入口から冷却材流出口へ支持プレートを横切る方向にサイズが減少する。一実施形態において、この減少は、支持プレートの外周に沿った第1点から支持プレートを半径方向に横切って支持プレートの外周に沿った第2点までの減少である。別の実施形態において、この減少は、支持プレートの中心から半径方向外向きに支持プレートの外周付近の点までの減少である。
動作416で、マスクパターンコントローラ294は、メサを形成するために用いられる1または複数のマスクパターンを決定する。これは、メサのサイズ、形状、高さ、および、位置、ならびに、メサ間の領域の深さなど、動作414中に提供された情報に基づいてよい。マスクの数、マスクのパターン、ならびに、マスクの塗布および除去の順序が決定される。
動作402、404、406、408、410、412、414、および、416中に決定されたパラメータは関連しており、したがって、パラメータの内の1つを変更すると、他のパラメータの値に影響しうる。これらの動作を繰り返し実行すれば、これらのパラメータのさらなる変化による垂直たわみ、摩耗深さ、局部応力などの推定値の変化が無視できるほどになるまで、パラメータを調整することができる。パラメータは、垂直たわみ、摩耗深さ、局部応力などの値の変化が、所定の範囲内になるまで、繰り返し調整されてよい。パラメータは、支持プレート全体にわたる推定および/または結果の温度が所定の範囲内になるまで、および/または、基板、温度検知アレイ、または、熱流束プローブ全体にわたる推定および/または結果の温度が所定の範囲内になるまで、調整されてもよい。パラメータは、基板、温度検知アレイ、または、熱流束プローブ全体にわたる推定および/または結果の温度の平均が所定の範囲内になるまで、調整されてもよい。温度検知アレイおよび/または熱流束プローブは、支持プレート上に配置され、プラズマ処理中に基板が経験する温度を検出するために用いられてよい。別の実施形態において、支持プレートは、支持プレート全体にわたる温度を検出するための温度センサを備えてもよく、センサは、支持プレートおよび基板全体にわたる温度を検出および/または推定するために用いられてよい。
動作418で、加工コントローラ296は、動作402、404、406、408、410、412、414、416、418中に決定された基板支持体のためのパラメータを機械加工システム274に送信する。コントローラ310、314は、メサおよびメサ間の領域など、基板支持体および/または基板支持体の層(例えば、最上層)を形成するために、機械加工ツール312およびマスク塗布装置316の動作を制御する。層は、動作414で決定されたメサパターン内のメサを有するように形成される。
動作418Aで、1または複数のマスクが、支持プレートの上面に塗布される。一実施形態では、2つのマスクが形成される。第2マスクは、第1マスクの一部および支持プレートの上に塗布される。図9A、図9B、図10A、図10Bに関して、2つのマスクの利用を示す一例を図示および説明する。別の実施形態では、3以上のマスクが形成される。図11に関して、5つのマスクの利用を示す一例を図示および説明する。マスクは、支持プレートの機械加工中にマスクの下の支持プレートの表面を保護する。マスクは、互いに重なってもよいし、支持プレートの異なる領域を被覆してもよい。マスクは、マスクの下の支持プレートの領域が、例えば、動作418B中にエッチングされることを防ぐ。一例として、マスクは、ウレタン樹脂などの弾性樹脂材料で形成されてよい。
動作418Bで、機械加工ツール312は、メサ間の領域の一部または全部の少なくとも一部を含む第1深さパターンを形成するために、機械加工および/またはブラスト処理を実行する。この処理は、メサの仕様およびメサ間の領域の深さなど、動作414中に提供された情報に基づく。機械加工および/またはブラスト処理は、例えば、サンドブラスト、グリット(またはビーズ)ブラスト、レーザ機械加工、および/または、1または複数のマスクによって保護されていない支持プレートの材料を除去するために用いられるその他の技術を含みうる。一実施形態において、機械加工ツール312は、ビーズブラストツールであり、マスクによって保護されていない露出面が、シリカビーズの衝突によって削られる。支持プレートの上面へのブラストおよび/または上面の任意の1または複数の局所領域へのブラストが、所定の深さを提供するために、1または複数の所定の期間にわたって持続されてよい。一実施形態では、メサ間の複数の領域がエッチングされ、結果として同一の深さを有する。
機械加工および/またはブラスト処理は、マスクおよび支持プレートに実行される。利用できるブラスト材料の例は、炭化シリコン(SiC)系の研削材およびアルミナ(Al)系の研削材である。一例として、図9Bは、2つのマスクが塗布された場合のエッチングの結果を示す。図10Bは、第2マスクが図9Bの同じ支持プレートで除去された後のエッチングの第2反復の結果を示す。
動作418Cで、マスク塗布コントローラ314は、マスクが除去されるか否かを判定する。マスクが除去される場合、動作418Dが実行され、そうでなければ、動作418Eが実行される。動作418Dで、最上マスクが除去される。これは、最上マスクを剥離することを含んでよい。
動作418Eで、機械加工コントローラ310は、別の深さパターンが形成されるか否かを判定する。例えば、別のマスクが支持プレート上に残っている場合に、別の深さパターンが形成される。別の深さパターンが形成される場合、動作418Bが実行され、そうでなければ、動作418Fが実行されてよい。
動作418Fで、ソフト研磨コントローラ298が、ソフト研磨を実行してよい。ソフト研磨は、縁部での応力集中を低減すると共に基板支持体の表面粗さを低減することで、基板と基板支持体との間で滑りが生じた時の固体間の側面接触を低減するために、メサの外周縁を丸めることを含む。ソフト研磨コントローラ298は、基板支持体の局所領域における基板の垂直たわみの量に基づいて、メサ縁部半径(例えば、半径mr)および/またはソフト研磨の量を推定する。「ソフト研磨」という用語は、メサの上側周縁を丸めることを指す。メサの半径および/またはソフト研磨の量は、かかる半径を有するように上側周縁を研磨するために決定されてよい。半径が大きいほど、より大きい垂直たわみが起こりうる。ただし、半径が大きいほど、メサの縁部での局部応力が小さくなる。決定された垂直たわみに基づいて、メサの縁部でのたわみの量が決定されてよい。半径および/またはソフト研磨の量は、メサの縁部でのたわみの量に基づいて決定されてよい。基板の垂直たわみが大きいほど、半径rlが大きく低減されてよい。方法は、動作420で終了してよい。
上述の動作は、例示を意図されており、動作は、応用例に応じて、順番に、同調して、同時に、連続的に、重複した期間内に、または、異なる順序で、実行されてよい。また、動作のいずれかが、実施例および/またはイベントの順序に応じて、実行されなくても飛ばされてもよい。
図5は、冷却流路452を備えたベースプレートの一例450を示す。ベースプレート450は単一の冷却流路を備えることが図示されているが、ベースプレートは、任意の数の冷却流路を有してよい。ベースプレート450は、区画分けされてよく、各区画は、対応する冷却材流入口および冷却材流出口を備えたそれぞれの冷却流路を備える。冷却流路452は、ベースプレート450の中心に冷却材流入口454を有し、ベースプレート450の外周付近に冷却材流出口456を有することが示されている。これは、プラズマ処理中により高い熱負荷を経験しうる基板の中心で、より大きい冷却を提供する。ベースプレート450は、さらに、任意の数の穴(たとえば、穴457、458、および、459が図示されている)を備えてよい。穴455は、温度測定のためのフィードスルーである。温度センサが、対応する電線を穴455に通すように接続されてよい。穴457は、ベースプレート450の外周付近のボルト穴である。
穴458は、少なくとも1つの供給穴および少なくとも1つの回収穴を含んでよい。穴458は、支持プレートのメサ間のオープン領域を通して循環するように、ベースプレート450を通して支持プレートへガスを通すために用いられてよい。穴459は、様々な目的に用いられてよい。穴459は、クランプ電圧、ヒータ接続、および、RF供給を提供するなどのために、電気フィードスルーとして用いられてよい。一実施形態において、穴459の直径は、穴455の直径よりも大きい。穴455の直径は、穴457および458の直径よりも大きくてよい。
冷却流路452は、ベースプレート450の中心で最大の冷却量を提供する。冷却量は、冷却流路452内の冷却流体の温度が上がるので、ベースプレート450の中心から周辺に向かって減少する。
図6は、シールバンド502およびメサ504を備えた基板支持体500を示す。シールバンド502は、別の領域(例えば、内側領域508)から分離された環状領域(または区域)506を備える。シールバンド502は、第1バンド510および第2バンド512を備える。バンド510、512は、リングとして図示されており、円形であるが、バンド510、512は、他の形状を取ってもよい。第1バンド510は、基板支持体500および/または対応する支持プレート514の外周にある。第2シールバンド512は、第1シールバンド510より小さい直径を有し、より基板支持体500の中心近くに配置されている。第2バンド512は、内側領域(すなわち、非シールバンド領域)508から環状領域506を分離する。環状領域506は、内側領域508に供給されるガスよりも高い圧力のガスを供給されてよい。
ここで、図7も参照すると、図6の基板支持体500の一部の上にあるマスクの一例520が示されている。マスク520は、図に示すように、環状の形状であり、内径および外径を備える。マスク520の外径は、基板支持体500の外径と同じサイズである。マスク520は、マスク520の内径によって規定された支持プレート514の環状領域522を被覆しない。マスク520は、シールバンド領域506を被覆しており、内側領域508の一部を被覆してもよい。シールバンド領域506よりも広く被覆する様子が示されているが、マスク520の内径は、マスク520がシールバンド領域506だけを被覆するように、第2バンド512の直径と一致または同等になるように大きくされてもよい。
図8は、基板支持体の支持プレート551の上面領域の一例550を示す。上面領域550は、シールバンド552およびメサ(メサの位置を示すドット554が図示されている)を備える。シールバンド552は、バンド556、558を備える。加熱素子および/またはクランプ電極(図8には図示せず)が、支持プレート551に備えられてよい。図に示すように、メサは、バンド556、558の間のシールバンド領域内および非シールバンド領域564内に存在してよい。
以下の図9A~図9Bおよび10A~図10Bは、例示のためだけに図示されており、縮尺通りに描かれていない。マスクの直径、メサの数、メサのサイズ、および、メサ間の領域の深さは、図に示すものと異なってもよい。また、図9A、図10Aに示すメサの一部のみが、図9B、図10Bに示されている。図9A~図9Bは、支持プレート600を示す。複数のマスク602、604が、支持プレート600上に示されている。図9A~9Bは、図4の動作418Bの第1反復を実行した後の支持プレートの一例600を示す。第1マスク602は、第1パターンを有する。第2マスク604は、第2パターンを有する。支持プレート600は、メサ606を提供するために、マスク602、604のパターンに基づいてエッチングされている。図からわかるように、第2マスク604は、第2マスク604の環状領域内の円形領域におけるエッチングを防ぐ。第1マスク602は、メサ606に関連する領域におけるエッチングを防ぐために、より複雑なパターンを有する。動作418Bの第1反復によって実行されたエッチングの後、メサ606の間の領域は、第1深さD1を有する。第2マスク604は、支持プレート600のシールバンド領域608のみを被覆してもよいし、シールバンド領域608よりも広く被覆してもよい。
図10A~図10Bは、第2マスク604が除去された後の支持プレート600を示す。図10A~10Bは、図4の動作418Bの第2反復を実行した後の支持プレートの一例600を示す。動作418Bの第2反復を実行した後、メサ606の間の領域は、第2深さD2を有してよく、この深さは、第1深さD1よりも大きくてよい。シールバンド620、622が、動作418Bの第2反復中に形成される。バンド620、622の中および外の領域は、第3深さD3を有してよく、これは、第2深さD2よりも小さい。第2マスク604がシールバンド領域608およびバンド620、622より広く被覆する場合、バンド622とメサ606の一部との間の1または複数の領域が、領域624、626によって示されるように、複数の深さを有してよい。領域624、626は、深さD2およびD3に対応する段差628を有する。
一実施形態において、メサ606およびバンド620、622の上面は、支持プレート600の底部に対して同じ高さにある。一例として、深さD2は、10ミクロンであってよく、深さD3は、8ミクロンであってよい。バンド620、622は、基板の背面と連続的なシールを形成してよい。これは、バンド622の内径の中の領域よりも、シールバンド領域608において小さい深さを提供して、冷却を高める。別の実施形態において、深さD2は深さD3と等しく、支持プレート600にわたって均一な深さを提供する。動作中、冷却ガスが、(i)シールバンド領域608、(ii)バンド622とメサ606との間の領域、および、(iii)メサ606間の領域に供給される。一例として、冷却ガスの圧力は、上記の領域全体にわたる熱を支持プレートの上面に伝導するために、最大80Torrであってよい。
深さD2、D3を減少させることで、プラズマリアクタ内のように、外部熱負荷の存在下で、支持プレート上の基板の温度を下げることができる。一例として、深度D2、D3を10ミクロンから9ミクロンに減少させることで、6kWの電力については基板の温度を1℃下げることができる。これは、上述の領域(すなわち、基板と支持プレートとの間のギャップ)における熱伝導が改善されるからである。
メサの高さが、冷却ガス原子の平均自由行程に近い場合、セラミックの上面からウエハへの熱伝達率hは、式2で表されてよく、ここで、kは、ガスの熱伝導率であり;dは、2つの表面間の距離(このシステムでは、メサの高さ)であり;gおよびgは、効果的により大きいガスギャップ距離の観点で界面における熱伝達を表し;hは、熱伝達率であるため、ギャップ全体にわたる温度降下は、式3で表すことができ、ここで、Pは、ウエハへの加熱電力であり、Aは、加熱面積である。加熱電力Pは、プラズマ電力に比例する。
例えば、ガス相互作用のための表面積が増大するので、滑らかな表面よりも、粗い表面の方が熱伝達に効果的である。表面条件が一定のままであり、メサの高さのみが変化する場合、gおよびgは一定である。この場合、ウエハからセラミック面への温度降下は、メサの高さdおよびプラズマ電力(または、プラズマ電力に比例する熱入力電力P)に線形比例する。この温度降下は、式4で表されてよい。
=k/(d+g+g) (2)
ウエハ-Tセラミック=P/(h・A) (3)
ウエハ-Tセラミック=P・((d+g+g)/k)・A (4)
本明細書に開示する例によって提供される熱伝導特性の改善により、ウエハ内温度のばらつきを10~50%低減することができるため、基板処理歩留まりを改善できる。一実施形態において、深さは、8~15ミクロンの間で変更され、潜在的に、6kW入力電力に対して最大約7℃までのウエハ内不均一性の補償を可能にする。支持プレートにわたって深さを調整することにより、基板と支持プレートとの間のギャップのサイズが調整され、それにより、局所的冷却を調整してウエハ内温度均一性を制御する。支持プレート全体にわたるギャップのサイズは、完全に調整可能であり、他の冷却調整方法(ベースプレート内の冷却流路の調整など)ほどは幾何学的条件によって制限されない。また、支持プレートとベースプレートとの間の熱ボンド層の厚さとは異なり、ギャップのサイズは、支持プレートの局所領域については容易に調整できる。
一実施形態では、3以上のマスクが形成される。さらなる各マスクが、異なるサイズの深さの形成を可能にする。深さが小さいほど、強い冷却が提供され、高温スポットの冷却に役立つ。高温スポットは、ベースプレートによって十分に冷却されない領域および/または熱ボンド層に関連しうる。動作418A~418Eの反復は、支持プレートにわたって深さを微調整することを可能にする。
動作418A~418Eを繰り返し実行することの代替例として、機械加工ツール312は、所定の深さを局所的に提供するために用いられてもよい。この実施例は、例えば、深さパターンがブラスト処理と同じ対称性を有する場合に用いられてよい。例えば、この技術は、ギャップのサイズが支持プレートの中央から半径方向外向きに支持プレートの縁部まで徐々に減少するように、支持プレートの中央から縁部までのギャップ距離の勾配が必要である場合に用いられてよい。機械加工ツール312は、中央で最長の期間にわたって機械加工し、縁部付近で最短の期間にわたって機械加工するように、中央から縁部まで速度を上げつつ支持プレートにわたって半径方向に移動されてよい。結果として、支持プレートの中央は、支持プレートの縁部よりも長くブラストを受ける。支持プレートの領域における半径方向の移動の速度および/または期間は、この方法の実行前に、予め決定されてメモリ278に格納されてよい。
図11は、カスタム局所マスクの例652、654、656、658を示す支持プレート650の図である。マスク652、654、656、658は、様々な形状を有してよく、外側環状マスク660の内径の中に配置されてよい。
開示されている例は、冷却電力均一性の局所的な改善を促進することで、基板温度の均一性を改善する。基板における温度均一性を提供することにより、上述の例は、基板間のばらつきを低減する。支持プレートの表面形状の深さは、具体的な適用例に対してカスタマイズされ、局所的深さを設定するために正確に制御されうる。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。また、これら以外に以下の適用例として実施することも差し支えない。
[適用例1]方法であって、
基板または基板支持体の支持プレートにおける温度分布パターンを決定する工程と、
前記温度分布パターンに基づいて、前記支持プレートの上面に塗布するマスクの数を2以上の数で決定する工程と、
前記温度分布パターンに基づいて、前記マスクのパターンを決定する工程と、
前記支持プレートの前記上面の上に前記マスクを塗布する工程と、
前記マスクによって保護されていない前記支持プレートの部分を除去して第1メサと、前記第1メサの間の第1凹部領域とを形成するために、第1機械加工処理を実行する工程と、
前記マスクの内の第1マスクを前記支持プレートから除去する工程と、
第2凹部領域と、第2メサおよび第1シールバンド領域の少なくとも一方とを形成するために、第2機械加工処理を実行する工程と、
前記マスクの内の第2マスクを前記支持プレートから除去する工程と
を備える方法。
[適用例2]適用例1に記載の方法であって、前記第2機械加工処理を実行した後に、前記第1凹部領域の深さが、前記第2凹部領域の深さと異なる方法。
[適用例3]適用例2に記載の方法であって、
前記第2機械加工処理は、前記第1凹部領域の深さを増大させることを含み、
前記第2機械加工処理を実行した後に、前記第1凹部領域の前記深さは、前記第2凹部領域の前記深さよりも大きくなる方法。
[適用例4]適用例1に記載の方法であって、前記第2機械加工処理を実行した後に、前記第1凹部領域の深さが、前記第2凹部領域の深さと等しい方法。
[適用例5]適用例1に記載の方法であって、前記第2メサおよび前記第1シールバンド領域は、前記第2機械加工処理中に形成される方法。
[適用例6]適用例1に記載の方法であって、前記第1メサ、前記第2メサ、および、前記第1シールバンド領域のバンドの高さが、前記支持プレートの底部に対して同じ高さにある方法。
[適用例7]適用例1に記載の方法であって、さらに、
第3メサおよび第2シールバンド領域の少なくとも一方を形成するために、第3機械加工処理を実行する工程と、
前記マスクの内の第3マスクを前記支持プレートから除去する工程と
を備える方法。
[適用例8]基板処理システムのための基板支持体であって、
本体と、
前記本体にわたって分散され、前記本体から離れる方向に前記本体から伸び、基板を支持するよう構成された複数のメサと
を備え、
前記複数のメサの各々は、前記基板と接触して支持する表面領域を備え、
前記複数のメサの各々は、前記本体の底部に対して同じ高さを有し、
前記複数のメサの間の領域の深さは、前記基板の処理中に経験される前記基板または前記基板支持体のベースプレートの温度分布パターンに従ってサイズが異なる基板支持体。
[適用例9]適用例8に記載の基板支持体であって、前記複数のメサの間の前記領域の前記深さは、前記基板支持体の中心からの半径方向距離が増大するにつれてサイズが減少する基板支持体。
[適用例10]適用例8に記載の基板支持体であって、前記複数のメサの間の前記領域の前記深さは、前記ベースプレートの冷却材流路入口の上の領域から前記ベースプレートの冷却材流路出口の上の領域に向かってサイズが減少する基板支持体。
[適用例11]適用例8に記載の基板支持体であって、
前記複数のメサの間の前記領域の前記深さは、前記本体の直径に沿った第1点から前記本体の前記直径に沿った第2点まで前記本体にわたって半径方向に減少し、
前記第2点は、前記本体の中心に関して前記第1点の反対側にある基板支持体。
[適用例12]適用例8に記載の基板支持体であって、さらに、シールバンド領域を規定する複数のバンドを備え、
前記シールバンド領域は、第1深さを有し、
前記シールバンド領域の外側にある前記複数のメサの深さは、前記第1深さよりもサイズが大きい基板支持体。
[適用例13]適用例12に記載の基板支持体であって、前記シールバンド領域の外側にある前記複数のメサは、前記シールバンド領域よりも半径方向で前記本体の中心近くに配置される基板支持体。
[適用例14]適用例8に記載の基板支持体であって、前記複数のメサの前記深さのパターンは、前記ベースプレート内の高温スポットを考慮するように構成され、その結果、前記複数のメサの内の前記高温スポット上にある前記メサの深さが、前記複数のメサの内の前記高温スポット上にない他のメサの深さよりも小さくなる基板支持体。
[適用例15]適用例8に記載の基板支持体であって、さらに、第1シールバンド領域、第2シールバンド領域、および、非シールバンド領域を備え、
前記第1シールバンド領域および前記第2シールバンド領域の各々は、2つのバンドを境界とし、
前記第1シールバンド領域の深さが、前記第2シールバンド領域の深さまたは前記非シールバンド領域の深さと異なる基板支持体。
[適用例16]適用例8に記載の基板支持体であって、さらに、
前記ベースプレートと、
前記ベースプレート上に配意された熱ボンド層と、
前記本体および前記複数のメサを含む支持プレートと
を備える基板支持体。
[適用例17]基板処理システムであって、
適用例8の基板支持体と、
RF電力を生成して、前記RF電力を前記基板支持体に供給するよう構成された高周波電力発生器と、
前記高周波電力発生器の動作を制御するシステムコントローラと
を備える基板処理システム。

Claims (29)

  1. 方法であって、
    基板または基板支持体の支持プレートにおける温度分布パターンを決定する工程と、
    前記温度分布パターンに基づいて、前記支持プレートの上面に塗布するマスクの数を2以上の数で決定する工程と、
    前記温度分布パターンに基づいて、前記マスクのパターンを決定する工程と、
    前記支持プレートの前記上面の上に前記マスクを塗布する工程と、
    前記マスクによって保護されていない前記支持プレートの部分を除去して第1メサと、前記第1メサの間の第1凹部領域とを形成するために、第1機械加工処理を実行する工程と、
    前記マスクの内の第1マスクを前記支持プレートから除去する工程と、
    第2凹部領域と、第2メサおよび第1シールバンド領域の少なくとも一方とを形成するために、第2機械加工処理を実行する工程と、
    前記マスクの内の第2マスクを前記支持プレートから除去する工程と
    を備え
    前記第2機械加工処理は、前記第1凹部領域の深さを増大させることを含み、
    前記第2機械加工処理を実行した後に、前記第1凹部領域の前記深さは、前記第2凹部領域の前記深さよりも大きい、
    方法。
  2. 請求項1に記載の方法であって、前記第2メサおよび前記第1シールバンド領域は、前記第2機械加工処理中に形成される方法。
  3. 請求項1に記載の方法であって、前記第1メサ、前記第2メサ、および、前記第1シールバンド領域のバンドの高さが、前記支持プレートの底部に対して同じ高さにある方法。
  4. 請求項1に記載の方法であって、さらに、
    第3メサおよび第2シールバンド領域の少なくとも一方を形成するために、第3機械加工処理を実行する工程と、
    前記マスクの内の第3マスクを前記支持プレートから除去する工程と
    を備える方法。
  5. 基板処理システムのための基板支持体であって、
    本体と、
    前記本体にわたって分散され、前記本体から離れる方向に前記本体から伸び、基板を支持するよう構成された複数のメサと
    を備え、
    前記複数のメサの各々は、固体の柱形状のメサであり、前記基板と接触して支持する表面領域を有する上面を備え、
    前記複数のメサの各々は、前記本体の底面に沿って伸びる平面と前記複数のメサの前記上面との間の距離が等しくなるように、前記本体の底部に対する前記複数のメサのそれぞれの高さが同じとなっており、
    前記複数のメサの間の領域の深さは、前記基板支持体上の前記基板の処理中に生成され得る温度分布パターンとして予め定めた、前記基板または前記基板支持体のベースプレートの温度分布パターンに従ってサイズが異なり、
    前記複数のメサの間の前記領域の前記深さは、前記本体の直径に沿った第1点から前記本体の前記直径に沿った第2点まで前記本体にわたってサイズが減少し、
    前記第1点および前記第2点は、前記本体の外周に沿って、または前記本体の外周に形成された環状領域である第1シールバンド領域に沿って配置される、基板支持体。
  6. 請求項5に記載の基板支持体であって、前記複数のメサの間の前記領域の前記深さは、前記ベースプレートの冷却材流路入口の上の領域から前記ベースプレートの冷却材流路出口の上の領域に向かってサイズが減少する基板支持体。
  7. 請求項5に記載の基板支持体であって、さらに、前記第1シールバンド領域を規定する複数のバンドを備え、
    前記第1シールバンド領域は、前記複数のバンドの内の隣接する2つのバンド間の凹部として配置されて、前記複数のメサの内のいくつかを備え、
    前記複数のバンドは、前記本体の一部として一体的に形成され、前記基板の側面とシールを形成するように前記本体から伸び、
    前記複数のバンドの高さは、前記複数のメサの前記高さと等しく、
    前記第1シールバンド領域は、第1深さを有し、
    前記第1シールバンド領域の外側にある前記複数のメサの間の前記領域の深さは、前記第1深さよりもサイズが大きく、
    前記第1点および前記第2点は、前記第1シールバンド領域に沿って配置される、基板支持体。
  8. 請求項7に記載の基板支持体であって、前記第1シールバンド領域の外側にある前記複数のメサは、前記第1シールバンド領域よりも半径方向で前記本体の中心近くに配置される基板支持体。
  9. 請求項5に記載の基板支持体であって、前記複数のメサの前記深さのパターンは、前記ベースプレート内の高温スポットを考慮するように構成され、その結果、前記複数のメサの内の前記高温スポット上にある前記メサの深さが、前記複数のメサの内の前記高温スポット上にない他のメサの深さよりも小さくなる基板支持体。
  10. 請求項5に記載の基板支持体であって、さらに、前記第1シールバンド領域、第2シールバンド領域、および、非シールバンド領域を備え、
    前記第1シールバンド領域および前記第2シールバンド領域の各々は、2つのバンドを境界とし、
    前記第1シールバンド領域の深さが、前記第2シールバンド領域の深さまたは前記非シールバンド領域の深さと異なる基板支持体。
  11. 請求項5に記載の基板支持体であって、さらに、
    前記ベースプレートと、
    前記ベースプレート上に配意された熱ボンド層と、
    前記本体および前記複数のメサを含む支持プレートと
    を備える基板支持体。
  12. 基板処理システムであって、
    請求項5の基板支持体と、
    RF電力を生成して、前記RF電力を前記基板支持体に供給するよう構成された高周波電力発生器と、
    前記高周波電力発生器の動作を制御するシステムコントローラと
    を備える基板処理システム。
  13. 請求項5に記載の基板支持体であって、
    前記第1点および前記第2点は、前記本体の前記外周に沿って配置される、基板支持体。
  14. 請求項5に記載の基板支持体であって、
    前記複数のメサの間の前記領域の前記深さは、前記基板支持体の前記直径に沿った前記第1点から前記第2点までの領域間で連続的にサイズが減少する、基板支持体。
  15. 請求項5に記載の基板支持体であって、
    前記複数のメサは、第1メサおよび第2メサを含み、
    前記第1メサと前記第2メサとの間にはメサが存在せず、
    前記第1メサと前記第2メサとの間の領域は、単一の段差を備え、前記領域は、
    前記第1メサの垂直方向に伸びる側面から前記単一の段差の上面に伸びる第1平面と、
    前記単一の段差の前記底面から前記第2メサの垂直方向に伸びる側面に伸びる第2平面と、を備える、基板支持体。
  16. 請求項15に記載の基板支持体であって、
    前記単一の段差は、垂直面であり、
    前記第1平面は、前記第1メサの前記上面および前記第2メサの前記上面よりも低い、基板支持体。
  17. 請求項15に記載の基板支持体であって、
    前記単一の段差の高さは、前記第1メサの高さと前記第2メサの高さとの差に等しい、基板支持体。
  18. 請求項15に記載の基板支持体であって、
    前記第1平面の前記第1メサと前記第2メサとの間に第1深さが存在し、
    前記第2平面の前記第1メサと前記第2メサとの間に第2深さが存在し、
    前記単一の段差の高さは、前記第1深さと前記第2深さとの差に等しい、基板支持体。
  19. 請求項6に記載の基板支持体であって、
    前記冷却材流路入口および前記冷却材流路出口は、前記ベースプレートの外周に沿って配置され、
    前記冷却材流路入口は、前記ベースプレートの中心に関して前記冷却材流路出口の反対側にある、基板支持体。
  20. 請求項6に記載の基板支持体であって、
    冷却流体を受け入れるように構成された冷却流路は、前記ベースプレートに配置され、前記冷却材流路入口から前記冷却材流路出口に伸び、
    前記複数のメサの間の前記領域は、前記冷却流路の上方に配置され、ガスを受け入れるように構成されている、基板支持体。
  21. 請求項6に記載の基板支持体であって、さらに、
    前記冷却材流路入口および前記冷却材流路出口の上にはない、前記複数のメサの内の2つのメサの間の前記領域の内の1つにガスを供給するように構成されたガス流路を備え、
    前記ガス流路は、前記冷却材流路入口と前記冷却材流路出口との間に配置される、基板支持体。
  22. 請求項21に記載の基板支持体であって、
    前記複数のメサの内の前記2つのメサの間の前記領域の深さは、前記冷却材流路入口の上の前記領域の前記深さよりも小さく、前記冷却材流路出口の上の前記領域の前記深さよりも大きい、基板支持体。
  23. 請求項21に記載の基板支持体であって、
    前記複数のメサの内の前記2つのメサの間の前記領域は、前記本体の外縁よりも前記本体の中心に近く、
    前記冷却材流路入口および前記冷却材流路出口は、前記本体の前記中心よりも前記本体の前記外縁に近い、基板支持体。
  24. 請求項23に記載の基板支持体であって、
    前記複数のメサの内の前記2つのメサの間の前記領域は、前記本体の前記中心からオフセットされる、基板支持体。
  25. 請求項6に記載の基板支持体であって、さらに、
    前記複数のメサの内の2つのメサの間の前記領域の内の1つにガスを供給するように構成されたガス流路を備え、
    前記領域の内の前記1つは、前記第1点と前記第2点との間に配置される、基板支持体。
  26. 請求項25に記載の基板支持体であって、
    前記領域の内の前記1つは、前記本体の外縁よりも前記本体の中心に近く、
    前記冷却材流路入口および前記冷却材流路出口は、前記本体の前記中心よりも前記本体の前記外縁に近い、基板支持体。
  27. 請求項26に記載の基板支持体であって、
    前記領域の内の前記1つは、前記本体の前記中心からオフセットされる、基板支持体。
  28. 請求項7に記載の基板支持体であって、
    前記複数のバンドの各々は、リング形状であり、
    前記第1シールバンド領域は、シールされている、基板支持体。
  29. 請求項7に記載の基板支持体であって、
    前記第1点は、前記ベースプレートの冷却材流路入口の上方にあり、
    前記第2点は、前記ベースプレートの冷却材流路出口の上方にある、基板支持体。
JP2017224113A 2016-11-29 2017-11-22 メサ間の領域の深さが異なる基板支持体および対応する温度依存の加工方法 Active JP7111460B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/363,558 2016-11-29
US15/363,558 US20180148835A1 (en) 2016-11-29 2016-11-29 Substrate support with varying depths of areas between mesas and corresponding temperature dependent method of fabricating

Publications (3)

Publication Number Publication Date
JP2018098497A JP2018098497A (ja) 2018-06-21
JP2018098497A5 JP2018098497A5 (ja) 2021-01-28
JP7111460B2 true JP7111460B2 (ja) 2022-08-02

Family

ID=62193224

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017224113A Active JP7111460B2 (ja) 2016-11-29 2017-11-22 メサ間の領域の深さが異なる基板支持体および対応する温度依存の加工方法

Country Status (6)

Country Link
US (2) US20180148835A1 (ja)
JP (1) JP7111460B2 (ja)
KR (1) KR102537060B1 (ja)
CN (1) CN108335993B (ja)
SG (1) SG10201708450PA (ja)
TW (1) TWI783955B (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US20180204747A1 (en) * 2017-01-17 2018-07-19 Applied Materials, Inc. Substrate support assembly having surface features to improve thermal performance
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
WO2019245727A1 (en) * 2018-06-22 2019-12-26 Applied Materials, Inc. Methods of minimizing wafer backside damage in semiconductor wafer processing
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
KR20210086712A (ko) * 2018-11-28 2021-07-08 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 증기 챔버를 포함하는 페데스탈
WO2020149936A1 (en) * 2019-01-18 2020-07-23 Applied Materials, Inc. Heated pedestal design for improved heat transfer and temperature uniformity
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
US11075104B2 (en) * 2019-08-27 2021-07-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor chuck and method of making
US11887811B2 (en) * 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
CN113201728B (zh) * 2021-04-28 2023-10-31 錼创显示科技股份有限公司 半导体晶圆承载结构及金属有机化学气相沉积装置
KR102572807B1 (ko) * 2021-06-10 2023-08-29 경희대학교 산학협력단 기판의 온도균일도 제어장치 및 제어방법
CN113622021B (zh) * 2021-06-18 2023-02-17 华灿光电(浙江)有限公司 用于提高外延片的生长均匀度的外延托盘
US20240203705A1 (en) * 2022-12-14 2024-06-20 Applied Materials, Inc. Surface topologies of electrostatic substrate support for particle reduction

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270681A (ja) 2001-03-07 2002-09-20 Anelva Corp 基板処理用静電吸着機構
JP2010278196A (ja) 2009-05-28 2010-12-09 Renesas Electronics Corp 基板保持治具
JP2011155170A (ja) 2010-01-28 2011-08-11 Panasonic Corp プラズマ処理装置
US20180204747A1 (en) 2017-01-17 2018-07-19 Applied Materials, Inc. Substrate support assembly having surface features to improve thermal performance

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0718438A (ja) * 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
JPH11330219A (ja) * 1998-05-12 1999-11-30 Hitachi Ltd 静電吸着装置
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US6682603B2 (en) * 2002-05-07 2004-01-27 Applied Materials Inc. Substrate support with extended radio frequency electrode upper surface
JP4417197B2 (ja) * 2004-07-30 2010-02-17 住友大阪セメント株式会社 サセプタ装置
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
KR20070110910A (ko) * 2005-08-05 2007-11-20 동경 엘렉트론 주식회사 기판 처리 장치 및 기판 탑재대
US7956310B2 (en) * 2005-09-30 2011-06-07 Tokyo Electron Limited Stage, substrate processing apparatus, plasma processing apparatus, control method for stage, control method for plasma processing apparatus, and storage media
KR20080029425A (ko) * 2006-09-29 2008-04-03 삼성전자주식회사 정전척
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor
JP2013153171A (ja) * 2013-02-15 2013-08-08 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
WO2015013143A1 (en) * 2013-07-22 2015-01-29 Applied Materials, Inc. An end effector for transferring a substrate
WO2015013142A1 (en) * 2013-07-22 2015-01-29 Applied Materials, Inc. An electrostatic chuck for high temperature process applications

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270681A (ja) 2001-03-07 2002-09-20 Anelva Corp 基板処理用静電吸着機構
JP2010278196A (ja) 2009-05-28 2010-12-09 Renesas Electronics Corp 基板保持治具
JP2011155170A (ja) 2010-01-28 2011-08-11 Panasonic Corp プラズマ処理装置
US20180204747A1 (en) 2017-01-17 2018-07-19 Applied Materials, Inc. Substrate support assembly having surface features to improve thermal performance

Also Published As

Publication number Publication date
TWI783955B (zh) 2022-11-21
US20220380894A1 (en) 2022-12-01
KR102537060B1 (ko) 2023-05-25
JP2018098497A (ja) 2018-06-21
TW201834027A (zh) 2018-09-16
US20180148835A1 (en) 2018-05-31
CN108335993B (zh) 2023-08-18
CN108335993A (zh) 2018-07-27
KR20180060954A (ko) 2018-06-07
SG10201708450PA (en) 2018-06-28

Similar Documents

Publication Publication Date Title
JP7111460B2 (ja) メサ間の領域の深さが異なる基板支持体および対応する温度依存の加工方法
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
JP7368520B2 (ja) エッジリングの位置決めおよびセンタリング機構を組み込んだプラズマシース調整のための交換可能および/または折りたたみ式エッジリングアセンブリ
KR102638983B1 (ko) 증가하는 면적 밀도를 갖는 기판 지지부 및 대응하는 제조 방법
TWI796249B (zh) 可運動的邊緣環設計
JP2018014492A (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
JP2020504452A (ja) 処理均一性を改善した基板支持体
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
JP7323525B2 (ja) エッジリング摩耗補償のためのシステムおよび方法
JP2023065471A (ja) 冷却ガス区画および対応する溝ならびに単極静電クランプ電極パターンを備える静電チャック
US10725485B2 (en) System and method for calculating substrate support temperature
JP7454504B2 (ja) 基板処理中の基板温度の決定および制御
JP2023520034A (ja) 一体型シールを備える冷却エッジリング
JP2023550333A (ja) 基板全体に均一な温度を有する基板支持体
TW201926536A (zh) 底部和中間邊緣環

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180806

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201207

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220628

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220721

R150 Certificate of patent or registration of utility model

Ref document number: 7111460

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150