JP7106626B2 - メモリデバイスを製造する方法、およびメモリデバイス - Google Patents

メモリデバイスを製造する方法、およびメモリデバイス Download PDF

Info

Publication number
JP7106626B2
JP7106626B2 JP2020501301A JP2020501301A JP7106626B2 JP 7106626 B2 JP7106626 B2 JP 7106626B2 JP 2020501301 A JP2020501301 A JP 2020501301A JP 2020501301 A JP2020501301 A JP 2020501301A JP 7106626 B2 JP7106626 B2 JP 7106626B2
Authority
JP
Japan
Prior art keywords
source
wafer
memory
drain region
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020501301A
Other languages
English (en)
Other versions
JP2020528666A (ja
Inventor
ルビン、ジョシュア
クマール、アーヴィンド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2020528666A publication Critical patent/JP2020528666A/ja
Application granted granted Critical
Publication of JP7106626B2 publication Critical patent/JP7106626B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5607Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using magnetic storage elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2211/00Indexing scheme relating to digital stores characterized by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C2211/56Indexing scheme relating to G11C11/56 and sub-groups for features not covered by these groups
    • G11C2211/561Multilevel memory cell aspects
    • G11C2211/5615Multilevel magnetic memory cell using non-magnetic non-conducting interlayer, e.g. MTJ
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Description

本発明は、一般に、半導体メモリおよび記憶装置のための製造方法ならびに結果として得られる構造に関し、より詳細には、不揮発性メモリデバイスに関する。
従来のランダム・アクセス・メモリ(RAM)チップ技術とは異なり、磁気RAM(MRAM)のデータは、電荷または電流フローとしてではなく、磁気メモリ素子によって記憶される。磁気メモリ素子は、それぞれが磁化を保持することができる2つの強磁性プレートから形成されている。2つの強磁性プレートは、薄い絶縁層によって互いに分離され、磁気トンネル接合(MTJ)を画定する。2つの強磁性プレートの一方は、特定の磁化方向に設定された永久磁石であるが、もう一方の強磁性プレートは、データを記憶するために、外部場の方向と一致するように変えることができる、または印加電流を使用して変えることができる磁化方向を有する。
MRAMデバイスは、磁気データの記憶を容易にするためにMTJを利用するため、MRAMデバイスは、ダイナミック・ランダム・アクセス・メモリ(DRAM)技術と異なり、絶え間ない電荷リフレッシュを必要としない。したがって、MRAMデバイスは、絶え間ない電力消費を必要とすることなく電源をオフにした状態でメモリを保持し、それによって全体的な電力効率の実質的な改善をもたらす。しかしながら、MTJの製造には、例えば、非CMOS互換材料、磁性フェライト材料などの新種の材料を必要とする場合がある。加えて、従来の後工程(BEOL:back end of line)プロセスに従って製造されたMRAMデバイスは、MRAM全体の占有面積(footprint)を削減する努力を妨げる制約された寸法という欠点がある。
したがって、当技術分野において前述の問題に対処する必要がある。
第1の態様から見ると、本発明は、メモリデバイスを製造する方法を提供し、本方法は、半導体ウエハの第1の側に、少なくとも1つのゲート構造および第1のソース/ドレイン領域を含む半導体デバイスを形成することと、第1の側と反対側の半導体ウエハの第2の側に、メモリ記憶素子を形成することと、を含み、メモリ記憶素子が、第1のソース/ドレイン領域とは異なる半導体デバイスの第2のソース/ドレイン領域と電気的に通じている。
さらなる態様から見ると、本発明は、水平軸に沿って延在して第1の側および第1の側と反対側の第2の側を画定し、垂直軸に沿って延在してウエハの高さを画定するウエハと、ウエハ上の半導体デバイスとを含むメモリデバイスを提供する。半導体デバイスは、ウエハの第1の側の少なくとも1つのゲート構造、ウエハの第1の側の第1のソース/ドレイン領域であり、少なくとも1つのゲート構造の第1の端部に接触する第1のソース/ドレイン領域、ウエハの第2の側の第2のソース/ドレイン領域であり、第1の側に延在して第1の端部の反対側に位置する少なくとも1つのゲート構造の第2の端部に接触する第2のソース/ドレイン領域、およびウエハの第2の側のメモリ記憶素子であり、第2のソース/ドレイン領域に接触するメモリ記憶素子を含む。
さらなる態様から見ると、本発明は、メモリデバイスを製造する方法を提供し、本方法は、半導体ウエハの第1の側に、少なくとも1つのゲート構造、第1のソース/ドレイン領域、および第2のソース/ドレイン領域を含む半導体デバイスを形成することであって、第1のソース/ドレイン領域が、第2のソース/ドレイン領域よりも大きな長さを有し、第2のソース/ドレイン領域を越えて延在する延出部を画定する、前記形成することと、半導体ウエハの第1の側に、第1のソース/ドレイン領域の上面の埋め込み絶縁体層を形成することと、埋め込み絶縁体層を貫いて延在し、ゲート構造に対してオフセットされるように延出部に接触する導電性パンチスルービアを形成することと、第1の側と反対側の半導体ウエハの第2の側に、オフセット・メモリ記憶素子を形成することであって、オフセット・メモリ記憶素子が、パンチスルービアの表面に接触し、ゲート構造に対してオフセットされている、前記形成することと、を含む。
さらなる態様から見ると、本発明は、メモリデバイスを製造する方法を提供し、本方法は、半導体ウエハの第1の側に、少なくとも1つのゲート構造および第1のソース/ドレイン領域を含む半導体デバイスを形成することと、半導体ウエハの第1の側に、第1のソース/ドレイン領域の上面の埋め込み絶縁体層を形成することと、第1の側と反対側の半導体ウエハの第2の側に、埋め込み絶縁体層を貫いて延在し、第1のソース/ドレイン領域に接触する導電性ビア/コンタクトを形成することと、半導体ウエハの第2の側に、メモリ記憶素子を形成することであって、メモリ記憶素子およびビア/コンタクトがそれぞれ、第1のソース/ドレイン領域とは異なる半導体デバイスの第2のソース/ドレイン領域と電気的に通じている、前記形成することを含む。
本発明の実施形態は、メモリデバイスを製造するための方法を対象とする。本方法の非限定的な例には、半導体ウエハの第1の側に、少なくとも1つのゲート構造および第1のソース/ドレイン領域を含む半導体デバイスを形成することが含まれる。本方法は、メモリ記憶素子が、第1のソース/ドレイン領域とは異なる半導体デバイスの第2のソース/ドレイン領域と電気的に通じるように、第1の側と反対側の半導体ウエハの第2の側にメモリ記憶素子を形成することをさらに含む。
本発明の実施形態は、ウエハ上に半導体デバイスを含むメモリデバイスを対象とする。半導体デバイスは、ゲート構造、第1のソース/ドレイン領域、および第2のソース/ドレイン領域を含む。ゲート構造は、ウエハの第1の側にある。第1のソース/ドレイン領域もウエハの第1の側にあり、ゲート構造の第1の端部に接触する。第2のソース/ドレイン領域は、ウエハの第2の側にあり、第1の側に延在してゲート構造の第2の端部に接触する。メモリデバイスは、ウエハの第2の側のメモリ記憶素子をさらに含む。メモリ記憶素子は、第2のソース/ドレイン領域に接触する。
本発明の実施形態は、メモリデバイスを製造する方法を対象とする。本方法の非限定的な例には、半導体ウエハの第1の側に、少なくとも1つのゲート構造、第1のソース/ドレイン領域、および第2のソース/ドレイン領域を含む半導体デバイスを形成することであって、第1のソース/ドレイン領域が、第2のソース/ドレイン領域よりも大きな長さを有し、第2のソース/ドレイン領域を越えて延在する延出部を画定する、前記形成することが含まれる。本方法は、半導体ウエハの第1の側に、第1のソース/ドレイン領域の上面の埋め込み絶縁体層を形成することをさらに含む。本方法は、埋め込み絶縁体層を貫いて延在し、ゲート構造に対してオフセットされるように延出部に接触する導電性パンチスルービアを形成することをさらに含む。本方法は、第1の側と反対側の半導体ウエハの第2の側に、オフセット・メモリ記憶素子を形成することであって、オフセット・メモリ記憶素子が、パンチスルービアの表面に接触し、ゲート構造に対してオフセットされている、前記形成することをさらに含む。
本発明の実施形態は、メモリデバイスを製造するための方法を対象とする。本方法の非限定的な例には、半導体ウエハの第1の側に、少なくとも1つのゲート構造および第1のソース/ドレイン領域を含む半導体デバイスを形成することが含まれる。本方法は、半導体ウエハの第1の側に、第1のソース/ドレイン領域の上面の埋め込み絶縁体層を形成することをさらに含む。本方法は、第1の側と反対側の半導体ウエハの第2の側に、埋め込み絶縁体層を貫いて延在し、第1のソース/ドレイン領域に接触する導電性ビア/コンタクトを形成することをさらに含む。本方法は、メモリ記憶素子およびビア/コンタクトがそれぞれ、第1のソース/ドレイン領域とは異なる半導体デバイスの第2のソース/ドレイン領域と電気的に通じるように、半導体ウエハの第2の側にメモリ記憶素子を形成することをさらに含む。
さらなる利点は、本発明の技術を通して実現される。本発明の実施形態および態様は、本明細書で詳細に説明され、特許請求される主題の一部と見なされる。よりよく理解するために、詳細な説明および図面を参照されたい。
本明細書に記載される排他的権利の詳細は、明細書の最後の特許請求の範囲において特に指摘され、明確に主張されている。本発明の実施形態の前述および他の利点は、添付の図面と併せて以下の詳細な説明から明らかである。
本発明の実施形態によるウエハ上に形成された垂直型電界効果トランジスタ(VTFET)の断面図である。 本発明の実施形態による、ウエハの前面にワード線およびソース線を形成した後のVTFETを示す図である。 本発明の実施形態による、向きを反転させたVTFETを示す図である。 本発明の実施形態による、ウエハの裏面をリセス(recess)した後のVTFETを示す図である。 本発明の実施形態による、埋め込みソース/ドレイン領域を露出させた後のVTFETを示す図である。 本発明の実施形態による、裏面ソース/ドレイン・コンタクトを形成した後のVTFETを示す図である。 本発明の実施形態による、裏面ソース/ドレイン・コンタクト上にメモリ・コンタクトを形成した後のVTFETを示す図である。 本発明の実施形態による、メモリ・コンタクト上に複数のMTJ層を積層してMRAMデバイスを形成した後のVTFETを示す図である。 本発明の実施形態による、MTJ層をパターニングして磁気記憶素子を形成した後のMRAMデバイスを示す図である。 本発明の実施形態による、磁気記憶素子上にビット線を形成した後のMRAMデバイスを示す図である。 本発明の実施形態による、裏面メモリ素子および前面メモリ・アクセスVTFETを含む完成したMRAMデバイスの断面図である。 本発明の実施形態による、MRAMアレイを表す概略図である。 本発明の実施形態による、プレーナ・メモリ・アクセスFETを含むウエハの前面図である。 本発明の実施形態による、図13に示すウエハの線A-Aに沿ってとられた断面図である。 本発明の実施形態による、ウエハの前面にワード線およびソース線を形成した後のメモリ・アレイを示す図である。 本発明の実施形態による、ワード線上に接合膜およびバルク・ハンドルウエハを積層した後の向きを反転させたウエハを示す図である。 本発明の実施形態による、裏面をリセスした後のウエハを示す図である。 本発明の実施形態による、メモリ記憶素子およびビット線の形成後のメモリ・アレイを示す図である。 本発明の実施形態による、VTFETのソース/ドレイン領域に接触するオフセット・パンチスルービアを含むウエハの断面図である。 本発明の実施形態による、オフセット・メモリ素子を形成するためにオフセット・パンチスルービア上に積層された複数のMTJ層を示す図である。 本発明の実施形態による、ウエハ上に形成されたVTFETの断面図である。 VTFETのソース/ドレイン領域上に形成された裏面ビア/コンタクトを含むMRAMデバイスの形成後のウエハを示す図である。
本明細書に表された図面は、例示である。本発明の範囲から逸脱することなく、本明細書に記載された図面または動作に対して多くの変形形態があり得る。例えば、行為を異なる順番で実行することができ、または行為を追加、削除、または変更することができる。また、用語「結合される」およびその変形形態は、2つの要素間に通信経路を有することを表し、要素間に介在する要素/接続のない要素間の直接接続を意味するものではない。これらの変形形態はすべて、本明細書の一部と考えられる。
記載された実施形態の添付の図および以下の詳細な説明では、図に示される様々な要素には、2桁または3桁の参照番号が設けられている。わずかな例外を除いて、各参照番号の左端の数字は、その要素が最初に示されている図に対応する。
簡潔にするために、半導体デバイスおよびIC製造に関連する従来の技術は、本明細書で詳細に記載される場合もあり、されない場合もある。さらに、本明細書に記載された様々なタスクおよびプロセス・ステップは、本明細書で詳細に記載されない追加のステップまたは機能を有する、より包括的な手順またはプロセスに組み込むことができる。特に、半導体デバイスおよび半導体ベースのICの製造における様々なステップは、よく知られているため、簡潔にするために、多くの従来のステップは、本明細書では簡単に言及されるだけであり、またはよく知られているプロセスの詳細を提供することなく完全に省略される。
用語「RAM」およびその変形形態は、この詳細な説明では、1つまたは複数のデータビット(例えば、「0」または「1」)を記憶することができるデータ記憶装置を説明するために使用される。熟語「中間半導体デバイス」およびその変形形態は、最終段階の前の製造段階にある半導体デバイスを指す。
ここで本発明の態様により具体的に関連する技術の概要に目を向けると、不揮発性メモリ(NVM)デバイスに処理速度の改善および高密度を提供し、同時に、削減されたデバイス占有面積で低電流リークを提供することを目的とするNVM技術が台頭してきている。これらの台頭するNVM技術には、相変化ランダム・アクセス・メモリ(PCRAM)、抵抗ランダム・アクセス・メモリ(ReRAM)、強誘電体ランダム・アクセス・メモリ(FeRAM)、および磁気抵抗ランダム・アクセス・メモリ(MRAM)が含まれる。例えば、MRAMデバイスは、例えば、ダイナミック・ランダム・アクセス・メモリ(DRAM)およびフラッシュメモリを含む従来の充電式および電流ベースの半導体メモリデバイスを上回る利点を提示する。例えば、DRAMデバイスのメモリ素子は、時間が経つにつれその電荷を失うコンデンサである。その結果、DRAMを使用するメモリ・アセンブリは、それらのチップ内のすべてのセルを1秒間に約20回リフレッシュし、各セルを読み取って、その内容を書き換えなければならない。DRAMセルのサイズが縮小するとともに、セルをより頻繁にリフレッシュする必要があり、結果として消費電力が大きくなる。
MRAMデバイスは、磁気データの記憶を容易にするためにMTJを使用するため、MRAMデバイスは、絶え間ない電荷リフレッシュを必要としない。したがって、MRAMデバイスは、絶え間ない電力消費を必要とすることなく電源をオフにした状態でメモリを保持し、それによって全体的な電力効率の実質的な改善をもたらす。しかしながら、MTJの製造には、例えば、非CMOS互換材料、磁性フェライト材料などの新種の材料を必要とする場合がある。加えて、従来の後工程(BEOL)プロセスに従って製造されたMRAMデバイスは、MRAM全体の占有面積を削減する努力を妨げる、寸法が制約されているという欠点がある。
ここで本発明の1つまたは複数の実施形態の態様の概要に目を向けると、メモリデバイス(例えば、PCRAMデバイス、ReRAMデバイス、FeRAMデバイス、MRAMデバイスなど)を提供する裏面メモリ・アーキテクチャが記載される。裏面メモリ・アーキテクチャは、半導体ウエハの第1の表面(例えば、前面)のアクセストランジスタと、ウエハの反対側の第2の表面(例えば、裏面)のメモリ素子と、を含む。アクセストランジスタは、ウエハの裏面に形成された磁気メモリ素子と接触する埋め込みメモリ・コンタクトを含む垂直型電界効果トランジスタ(VTFET)として形成することができる。アクセストランジスタは、パンチスルー・コンタクトを利用してウエハの裏面に形成された磁気メモリ素子との電気的接続を容易にするプレーナ型FETまたはフィンFETとして形成することもできる。いずれの場合も、占有面積を削減したMRAMデバイスを製造することができる。
本発明の1つまたは複数の実施形態の態様は、本明細書に記載された裏面メモリ・アーキテクチャが、従来のBEOL製造技術を妨げるMTJの高さ制約を克服するため、従来技術の上述の欠点に対処する。この裏面メモリ・アーキテクチャにより、メモリ・アレイのエッジに沿って、裏面ビット線を前面に渡すこともでき、それによってMRAMデバイス全体の占有面積をさらに削減する。
ここで本発明の態様のより詳細な説明に目を向けると、図1は、1つまたは複数の非限定的な実施形態による中間半導体デバイス100を表す。中間半導体デバイス100は、長さを規定する第1の軸(例えば、X軸)、幅を規定する第2の軸(例えば、Y軸)、および高さを規定する第3の軸(例えば、Z軸)に沿って延在するウエハ101を含む。ウエハ101は、第1の側と、第1の側の反対側に位置する第2の側を有する。第1の側は、例えば、前面(Frontとして明示される)と呼ばれ、一方、第2の側は、例えば、裏面(Backとして明示される)と呼ばれる。
1つまたは複数の実施形態では、中間半導体デバイス100は、例えば、VTFETなどのトランジスタ・デバイスをさらに含む。VTFETは、第1のソース/ドレイン(S/D)領域116と第2のS/D領域106との間に垂直に配置された1つまたは複数のチャネル領域110を有する。第1のS/D領域116は、上部S/D領域116と呼ばれ、第2のS/D領域106は、下部S/D領域106と呼ばれる。上部S/D領域116および下部S/D領域106は、例えば、シリコン(Si)またはシリコンゲルマニウム(SiGe)などの半導体材料で構成され、1つまたは複数のエピタキシ・プロセスに従って形成することができる。エピタキシ・プロセスは、例えば、四塩化ケイ素などのガスまたは液体前駆体による気相エピタキシ(VPE)、分子線エピタキシ(MBE)、または液相エピタキシ(LPE)を含むが、これらに限定されない様々なよく知られている技術を使用して行うことができる。
VTFETは、1つまたは複数のゲート構造113をさらに有する。各ゲート構造113は、チャネル領域110を閉じ込めるゲート誘電体層114、およびゲート誘電体層114のすべての部分を包み込む導電性のコンタクト112を含む。したがって、チャネル領域110の垂直の向きは、ゲート構造113がチャネル領域110のすべての壁を閉じ込めるまたは包み込むことを可能にし、それによって、静電ゲート制御を改善し、一方でゲート電圧を下げる可能性を提供する。S/Dスペーサ108は、ゲート誘電体層114とS/D領域106および116との間にそれぞれ形成され、S/D領域をゲート構造113から電気的に絶縁するのを支援する。動作中に、電流は、上部S/D領域116と下部S/D領域106との間でチャネル領域110を垂直方向に流れ、したがってデバイスの頂面に対して主に垂直に流れる。
第1の層間絶縁膜(ILD)120は、ウエハ101の前面に形成され、ゲート構造113および上部S/D領域116を閉じ込める。第1のビア118は、第1のILD120に形成され上部S/D領域116への電気的なアクセスを提供する。例えば、1つまたは複数のマスクおよびパターニング操作を行って、上部S/D領域116の上面を露出させるボイドを第1のILD層120に形成することができる。続いて、例えば、ボイドを金属材料などの導電性材料で充填して第1のビア118を形成することができる。化学機械平坦化(CMP)プロセスを行って、ILD120の上面から余分な金属材料を除去することができる。したがって、ILD120と第1のビア118の上面は、互いに同一平面上にある(すなわち、共面である)。
さらに図1を参照すると、下部S/D領域106は、埋め込みS/D領域として形成され、半導体層102に接触する。半導体層102は、Siを含むが、これに限定されない様々な半導体材料で構成することができ、下部S/D領域106をエピタキシャル成長させるためにシード層として用いることができる。
ウエハ101の裏面は、下部S/D領域106を隣接する半導体デバイス(図示せず)から電気的に絶縁する働きをする浅いトレンチ分離(STI)領域104をさらに含むことができる。以下でより詳細に論じる後続の製造プロセスに従ってウエハ101の裏面に形成されるメモリデバイス・コンタクト(図1には図示せず)の高さを制御する働きをするSTI領域104を所望の高さで形成することができる。1つまたは複数の非限定的な実施形態では、STI領域104は、例えば、約100ナノメートル(nm)の全高を有する。STI領域104の全高(例えば、100nm)と下部S/D領域106の全高(例えば、30nm)との差(例えば、70nm)は、以下でより詳細に説明するメモリデバイス・コンタクトの高さを規定する。
図2は、ウエハ101の前面のメモリ線アレイ150の形成後の図1の中間半導体デバイスを示す。メモリ線アレイは、ソース線152およびワード線154を含む。1つまたは複数の後工程(BEOL)製造プロセスを行って、ソース線152およびワード線154を形成することができる。例えば、導電性材料で構成されたソース線152が第1のILD120の上面に最初に形成される。ソース線152は、ソース線152の一部が第1のビア118の上面に接触するように、第1の軸(例えば、X軸)に沿って延在する。第2のILD121をソース線152の上面に堆積させ、次いで、パターニングしてワード線154の寸法を規定するボイドを形成する。次いで、ボイドを導電性材料で充填してワード線154を形成し、このワード線154は、第2のILD120によってソース線152から分離され、ソース線152とは反対の方向に(例えば、Y軸に沿って)延在する。
ここで図3~図10を参照すると、ウエハ101を反転させた後の半導体デバイス100が示されている。したがって、様々な製造プロセスをウエハ101の裏面に適用して、裏面メモリ素子(図3に図示せず)を形成することができる。ウエハ101は、接合膜202およびバルク・ハンドルウエハ204をワード線154の上部に積層した後に反転させることができることを認識されたい。デバイスの用途に応じて、追加の金属線またはビアあるいはその両方を、ワード線を越えて前面に形成することができる。例えば、ワード線154の第1の部分は、ウエハ101の前面に形成することができ、一方、ワード線154の第2の部分は、ウエハ101の裏面に渡される。
ハンドルウエハ204は、接合膜202上に形成される。接合膜202は、Si接着剤を有する接着材料またはポリイミドで構成されている。あるいは、接合膜202は、誘電体材料への接合を容易にするために酸化物を主成分とするまたは窒化物を主成分とする材料で構成することができる。接合膜202は、単一層で形成することができ、または多層を含むことができる。バルク・ハンドルウエハ204は、例えば、Siなどの様々な材料で構成することができ、1つまたは複数の後続の製造プロセスを実行する際の追加的な支持を提供することができる。
図4に目を向けると、半導体層102をリセスした後の半導体デバイス100が示されている。半導体層102は、ウエハ研削、反応性イオン・エッチング(RIE)プロセス、またはCMPプロセスを含むが、これらに限定されない様々な技術を使用してリセスすることができる。例えば、CMPプロセスを適用する場合、STI領域104に達する(すなわち、STI領域104上で停止する)まで半導体層102をリセスすることができる。したがって、STI領域104と残った半導体層102の露出表面は、互いに同一平面上にある(すなわち、共面である)。
図5に目を向けると、残った半導体層102を除去して下にある下部S/D領域106を露出させた後の半導体デバイス100が示されている。STI領域104および下部S/D領域106を維持しながら、残った半導体層102を選択的にエッチング除去する選択エッチング・プロセスを実行することができる。したがって、下にある下部S/D領域106を露出させるボイド208が形成される。
選択エッチング・プロセスには、例えば、水酸化アンモニウム(NHOH)化学物質を利用するウェット・エッチング・プロセスが含まれる。半導体層102がSiで形成され、下部S/D領域106がSiGeで形成されている場合、半導体層102のSi材料を下部S/D領域106のSiGe材料よりも積極的に攻撃するNHOH化学物質からなるウェット・エッチング・プロセスを使用することができる。例えば、NHOH化学物質は、4:1のエッチング比を達成することができ、すなわち、Si材料をSiGe材料よりも4倍積極的にエッチングすることができる。SiGeは、一般に、pFETのS/D領域に見出される。あるいは、アクセストランジスタ(例えば、VTFET)は、SiCのS/D領域106を含むことができるnFETとして製造することができる。この場合、Siの除去は、S/D領域106のSiC材料を維持しながら、半導体層102のSi材料を積極的に攻撃する化学物質を使用して達成することができる。炭素ドーピングを適用して、材料間のエッチング比を変えることもできる。
ここで図6を参照すると、ボイド208に導電性材料を堆積させ、下部S/D領域106上にS/Dコンタクト210を形成した後の半導体デバイス100が示されている。導電性材料は、チタン(Ti)、チタン窒化物(TiN)、およびタングステン(W)を含むが、これらに限定されない金属材料とすることができる。余分な導電性材料は、STI領域104上で停止するCMPプロセスを実行することによって、ウエハ101の裏面から除去することができる。したがって、S/Dコンタクト210の露出面は、STI領域104の露出面と同一平面上にある。S/Dコンタクト210の高さは、70nmとして示されているが、S/Dコンタクト210の寸法は、これに限定されない。
図7に目を向けると、S/Dコンタクト210は、STI領域104の表面より下に部分的にリセスすることができる。フッ素を主成分とする化学物質を組み込むRIEプロセスが、例えば、タングステン(W)からなるリセスS/Dコンタクト210に使用することができる。図示されていないが、S/Dコンタクト210は、1つまたは複数のコンタクト・ライナを含むことができる。例えば、チタン(Ti)からなる第1のライナ(図示せず)をS/Dコンタクト210上に堆積させることができる。その後、チタン窒化物(TiN)からなる第2のライナ(図示せず)を第1のライナ(例えば、Tiライナ)上に堆積させることができる。
結果として生じるキャビティを、例えば、TaNなどの第2の導電性材料で充填してメモリ・コンタクト212を形成することができる。メモリ・コンタクト212は、任意選択であり、本発明の実施形態の1つまたは複数から省略することができる。第2の導電性材料212は、例えば、物理的気相堆積(PVD)または他のスパッタリング技術などの様々なプロセスを使用して堆積させることができる。したがって、S/Dコンタクト210は、裏面ウエハ101に対して様々な製造技術を実行することによって完成することができる。加えて、S/Dコンタクト210およびメモリ・コンタクト212の裏面形成は、結果として下部S/D領域106と、S/Dコンタクト210の裏面形成と、メモリ・コンタクト212との自己整合をもたらす。言いかえれば、埋め込み下部S/D領域116を利用して、メモリ素子のための自己整合コンタクトを形成することができ、これについては以下でより詳細に論じる。コンタクト(ランディング・パッドとも呼ばれる)は、エッチング耐性があり、裏面メモリ素子のパターニング中に下にある要素および構造のための保護として働くことができる。
ここで図8を参照すると、メモリ記憶素子214がメモリ・コンタクト212およびSTI領域104の露出面上に形成されている。以降、メモリ記憶素子214は、MRAM記憶素子の観点から説明される。しかしながら、本発明は、これに限定されず、様々な他のタイプのメモリ記憶素子を本発明の範囲から逸脱することなく実施することができることを認識されたい。
1つまたは複数の非限定的な実施形態では、MRAM記憶素子214は、互いの上部に垂直に配置された複数の個々の磁気トンネル接合(MTJ)層215a~215cを含むメモリ・スタック214として形成される。MTJ層は、例えば、固定磁性層215a、誘導体トンネル障壁層215b、および自由磁性層を215c含む。固定磁性層215aは、メモリ・コンタクト212上に形成されている。自由磁性層215cは、固定磁性層215aの上方に位置する。誘導体トンネル障壁層215bは、固定磁性層215aと自由磁性層215cとの間に介在している。固定磁性層215aおよび自由磁性層215cは、強磁性材料で構成されている。誘導体トンネル障壁層215bは、例えば、アルミニウム酸化物(AlO)などの酸化物または金属酸化物材料で構成されている。したがって、MTJ層215a~215cのスタックは、磁気記憶を促進してMRAMビット/セルを形成することができるMTJを画定する。
MTJ層215a~215cは、例えば、PVDプロセスを使用して堆積させることができる。各MTJ層215a~215cは、約10nm以下の範囲にある(例えば、Z軸に沿って延在する)垂直厚を有することができる。本明細書では3つのMTJ層215a~215cが記載されているが、MTJ層の数は、これに限定されない。
さらに図8を参照すると、フォトレジストマスク216がメモリ・スタック214上に形成されている。フォトレジストマスク216は、最初にメモリ・スタック上にフォトレジスト層を堆積させ、次いで、リソグラフィ・パターニング・プロセスを実行することによって形成することができる。
図9に目を向けると、フォトレジストマスク216によって画定されたパターンをメモリ・スタック214に転写するイオンビーム・エッチング(IBE)プロセスを実行した後の半導体デバイス100が示されている。それに応じて、磁気記憶素子217(例えば、MRAM素子217)がメモリ・コンタクト212上に形成される。したがって、ウエハの単一の側(例えば、前面)にのみ形成されるMRAM素子およびアクセストランジスタを含む従来のMRAMデバイスと異なり、本明細書に記載された本発明の1つまたは複数の非限定的な実施形態は、ウエハ101の第1の側(例えば、裏面)にMRAM素子217を設け、一方、アクセストランジスタ(例えば、VTFET)は、ウエハ101の反対側(例えば、前面)にある。
さらに図9を参照すると、MRAM素子217を閉じ込めるメモリ・スタック絶縁膜218を堆積させている。メモリ・スタック絶縁膜218は、例えば、窒化ケイ素(SiN)などの窒化物材料からなり、例えば、化学気相堆積(CVD)プロセスにより堆積させる。絶縁膜218は、膜の接着を支援し、一方でMRAM素子217の表面からの金属原子の拡散も阻止する。絶縁膜218は、さらなる処理中のMRAM素子217の熱劣化を抑えることもできる。
図10を参照すると、MRAM素子217を取り囲むように第3のILD層219を絶縁膜218上に堆積させている。次いで、第3のILD層219をリセスして絶縁膜218の上面を露出させる。RIEプロセスを行ってILD層219をリセスすることができ、絶縁膜218の上面が除去され、下にあるMRAM素子217が露出するまで継続することができる。あるいは、第1のエッチング・プロセスを行って、絶縁膜218の上面が露出するまでILD層219をリセスすることができる。次いで、異なるエッチング・プロセス(例えば、異なる化学物質を用いるエッチング・プロセス)を使用して、絶縁膜218の上面を除去し、下にあるMRAM素子217を露出させることができる。
さらに図10を参照すると、導電性材料からなるビット線220が第3のILD219上に形成されている。ビット線220は、ビット線220の一部がMRAM素子217の露出面に接触するように、第1の軸(例えば、X軸)に沿って延在する。図示されていないが、ビット線220の形成後に、ウエハ101を再び反転させることができ、必要に応じて前面の構成要素の処理を継続することができる。
ここで図11を参照すると、裏面メモリ素子217および前面VTFET608を含む完成したMRAMデバイス600が示されている。例えば、裏面メモリ素子217は、MTJとして構築することができる。構成要素のいくつかを識別するのを支援するために、回路図がMRAMデバイス600上に重ねられている。例えば、回路図は、VTFET608がソース線152とメモリ素子217との間に接続されていることを示す。したがって、VTFET608は、裏面メモリ素子217によって規定されたメモリ・ビット/セルのアクセストランジスタとして働くことができる。完成したMRAMデバイス600は、ウエハ101の前面からビット線220にアクセスするために、アレイのエッジに追加のビア604を含むこともできる。
図12を参照すると、MRAMアレイ700を表す概略図が示されている。MRAMアレイ700は、前面704および裏面702を含むウエハ上に形成される。前面704は、複数のアクセストランジスタ710A、710B、および710Cを含む。各トランジスタ710A~710Cは、第1のソース端子、ゲート端子、および第2のソース/ドレイン端子を含む。各第1のソース/ドレイン端子は、前面704に形成された共通のソース線708に接続されている。各ゲート端子は、前面704に形成されたそれぞれのワード線714A、714B、および714Cに接続されている。
裏面702は、複数のMTJ712A、712B、および712Cを含む。各MTJ712a~712cは、固定磁性層713aと自由磁性層713cとの間に介在する誘導体トンネル障壁層713bを含むことができる。各アクセストランジスタ710A、710B、および710Cの第2のS/D端子は、裏面702に延在して、それぞれのMTJ712A、712B、および712Cの第1の端子に接続されている。MTJ712A、712B、および712Cの反対側の端子は、裏面702に形成されたビット線706に接続されている。
MRAMアレイ700は、前面704に形成されたセンスアンプ716をさらに含む。センスアンプ716は、ビット線入力端子717、基準入力端子718、および出力端子720を含む。ビット線入力端子717は、ビット線706に接続されている。基準入力端子718は、基準電圧源に接続されている。ビットの読み取り動作は、所望のビットを基準セルと比較する電流センスアンプによって実行される。センスアンプを使用して、基準セルに対するセルの抵抗を評価することによって、ビットがロー状態か、またはハイ状態かを判定することができる。
ここで、図13に目を向けると、1つまたは複数の実施形態によるトランジスタ・アレイ300を含むウエハ302の第1の側の図(例えば、前面の図)が図13に示されている。結果として得られるトランジスタ・アレイ300の前面401は、ワード線310およびソース線304に接続された1つまたは複数のトランジスタ308を含む。トランジスタ308は、プレーナ型FETまたはフィン型FET(フィンFET)として製造することができる。各トランジスタ308のゲートは、ワード線310に接触し、トランジスタ308の第1のソース/ドレイン端子は、ビア416を介してソース線304に接触している。トランジスタ308の第2のソース/ドレイン端子は、ウエハ302の反対側(すなわち、裏面)に形成されたMRAM素子(図13には図示せず)の一方の側に接触している。また、ビット線306がウエハ302の裏面に形成され、メモリ記憶素子の第2の側に接触している。トランジスタ・アレイ300は、以下でより詳細に説明するように、図14~図18に示す一連の製造操作に従って製造することができる。
ここで図14に目を向けると、中間工程(MOL:middle of line)製造プロセスの完了後の、線A-Aに沿ってとられた中間トランジスタ・アレイ300が示されている。中間トランジスタ・アレイ300は、前面401および裏面403を含むウエハ302を含む。前面401は、埋め込み酸化物(BOX)層404および中間層406を含む。BOX層404は、例えば、SiOなどの様々な誘電体材料からなり、例えば、約5nm~約50nmの範囲にある厚さを有する。中間層406は、BOX層404の第1の表面に形成され、Siを含むが、これに限定されない半導体材料からなる。例えば、中間層406の厚さは、例えば、約2nm~約10nmの範囲にある。
1つまたは複数のトランジスタ308は、中間層406の上面に形成されている。各トランジスタ308は、ゲート構造412、第1のソース/ドレイン領域411、および第2のソース/ドレイン領域413を含む。ゲート構造412は、1対の対向するスペーサ410間に介在するゲート408を含む。ゲート408は、例えば、タングステン(W)を含む導電性材料から形成することができ、スペーサは、例えば、SiNなどの窒化物材料から形成することができる。
第1のS/D領域411および第2のS/D領域413は、ゲート構造412の両側に形成されている。第1のS/D領域411および第2のS/D領域413は、例えば、Si、ゲルマニウム(Ge)、SiGe、炭化ケイ素(SiC)、インジウムリン(InP)、ガリウムヒ素(GaAs)など、またはそれらの組合せから構成することができる。有機金属CVD(MOCVD)エピタキシ、分子線エピタキシ(MBE)、液相エピタキシ(LPE)、気相エピタキシ(VPE)、選択エピタキシャル成長(SEG)など、またはそれらの組合せを含むが、これらに限定されない様々なエピタキシ・プロセス実行してS/D領域を形成することができる。エピタキシャル・ソース/ドレイン領域は、成長またはその後の注入あるいはその両方の間にイン・シトゥー・ドーピングを介して、5×1019cm-3以上の濃度にさらにドープすることができる。ドーパントは、例えば、p型トランジスタ用のホウ素、インジウムなどを含むことができ、例えば、n型トランジスタ用のリン、ヒ素などを含むことができる。第1のS/D領域411および第2のS/D領域413は、例えば、四塩化ケイ素などのガスまたは液体前駆体による気相エピタキシ(VPE)、分子線エピタキシ(MBE)、または液相エピタキシ(LPE)を含むが、これらに限定されない様々なエピタキシ・プロセスに従って形成することができる。
前面401は、第1のILD層414および導電性ビア416をさらに含む。ILD層414は、中間層406の上面に形成され、トランジスタ308を閉じ込める。ILD層414は、例えば、SiO2などの誘電体材料で構成され、トランジスタ308を互いに電気的に絶縁する働きをする。ビア416は、ILD層414を貫いて延在し、第1のS/D領域411の上面に接触する。したがって、ソース線(図14には図示せず)は、第1のS/D領域411との電気的接続を達成することができる。
裏面403は、BOX層404の反対側に形成された第2のILD402を含む。パンチスルービア418は、中間層406およびBOX層404を貫通して裏面403のアクセスを容易にする。パンチスルービア418は、所与のトランジスタ308の第2のS/D領域413に接触する第1の端部、および第2のILD402内に延在する第2の端部を含む。
図15に目を向けると、ウエハ302の前面にワード線310およびソース線304を形成する様々なBEOL処理技術後のトランジスタ・アレイ300が示されている。ソース線304は、例えば、第1のILD414の上面にSiOからなる第3のILD層420を堆積させることによって形成される。第3のILD層420は、下にあるビア416を露出させるキャビティを形成するようにパターニングされる。キャビティは、導電性材料で充填され、ビア416に接触するソース線304を形成する。
さらに図15を参照すると、SiOからなる第4のILD層422を、例えば、第3のILD層420の上面に堆積させて、ソース線304を覆う。ワード線310は、第4のILD層422の上面に形成され、導電性材料からなる。第4のILD層422は、ワード線310をソース線304から電気的に絶縁する働きをする。単一のワード線310が示されているが、用途に応じて、追加の金属線およびビアを形成することができる。
図16に目を向けると、ワード線310の上部に接合膜452およびバルク・ハンドルウエハ454を積層した後、トランジスタ・アレイ300を反転させている。トランジスタ・アレイ300を反転させる前に(すなわち、前面401から裏面403に)、接合膜452がワード線310の上面に形成され、Si接着剤を有する接着材料またはポリイミドから構成される。あるいは、接合材料は、誘導体接合のための酸化物ベースまたは窒化物ベースの膜とすることもできる。バルク・ハンドルウエハ454は、接合膜452の上面に形成される。接合膜452は、ハンドルウエハ454の上面に形成され、次いで、ウエハ101に貼り付けられる。バルク・ハンドルウエハ454は、例えば、Siなどの様々な材料から構成することができ、1つまたは複数のその後の製造プロセスを実行する際に、追加的な支持を提供することができる。
図17に目を向けると、第2のILD402の除去後のトランジスタ・アレイ300が示されている。第2のILD402は、例えば、BOX層404の上面で停止する化学機械平坦化(CMP)プロセスを実行することによって除去することができる。したがって、パンチスルービア418の一部は、BOX層404の表面でアクセス可能になる。
ここで図18を参照すると、トランジスタ・アレイ300は、MRAM素子504およびビット線306の形成後のMRAMアレイとして示されている。単一のMRAM素子504およびビット線306が示されているが、ウエハ302上に形成された追加のトランジスタ308nに対応して、追加のMRAM素子およびビット線を形成することができることを認識されたい。
MRAM素子504は、磁気記憶を促進してMRAMビット/セルを形成することができるMTJを規定する。MRAM素子504は、例えば、TaNから構成されたメモリ・コンタクトの上部に形成されて示されている。MRAM素子504は、BOX層404の上面にMTJ層505a、505b、および505cのスタックを堆積させ、次いで、メモリ・コンタクト505の上部に残るMRAMスタックの一部分がMRAM素子504を画定するように、MRAMスタックをパターニングすることによって形成することができる。MTJ層505a~505cは、固定磁性層505a、誘導体トンネル障壁層505b、および自由磁性層505cを含む。固定磁性層505aおよび自由磁性層505cは、強磁性材料から構成されている。誘導体トンネル障壁層505bは、例えば、アルミニウム酸化物(AlO)などの酸化物または金属酸化物材料から構成されている。誘導体トンネル障壁層505bは、電子が自由磁性層505cから固定磁性層505aにトンネリングすることができる厚さを有する。3つのMTJ層が記載されているが、MRAM素子504は、これに限定されず、追加のMTJ層を含むことができることを認識されたい。
メモリ・スタック絶縁膜506をBOX層404の上面に堆積させ、MRAM素子504の外面と共形にする。メモリ・スタック絶縁膜506は、例えば、窒化ケイ素(SiN)などの窒化物材料から構成され、例えば、化学気相堆積(CVD)プロセスにより堆積させる。エッチング・プロセスを実行して、メモリ・スタック絶縁膜506の上方部分をリセスし、下にあるMRAM素子504を露出させる。
さらに図18を参照すると、例えば、SiO2から構成された第5のILD層508を、メモリ・スタック絶縁膜506上に堆積させてMRAM素子504を閉じ込める。次いで、第5のILD層508をリセスして、メモリ・スタック絶縁膜506の上面を露出させる。RIEプロセスを実行して、第5のILD層508をリセスすることができ、メモリ・スタック絶縁膜506の上面が除去され、下にあるMRAM素子504が露出されるまで、継続することができる。あるいは、第1のエッチング・プロセスを実行して、メモリ・スタック絶縁膜506の上面が露出されるまで、第5のILD層508をリセスすることができる。次いで、異なるエッチング・プロセス(例えば、異なる化学物質を使用するエッチング・プロセス)を実行して、メモリ・スタック絶縁膜506の上面を除去し、下にあるMRAM素子504を露出させることができる。
ここで図19および図20を参照すると、本発明の1つまたは複数の実施形態による中間半導体デバイス100の断面図が示されている。図19を参照すると、ウエハ101を反転させて裏面を露出させた後の中間半導体デバイス100が示されている。半導体デバイス100は、第1のS/D領域106と第2のS/D領域116との間に介在するゲート構造113を有するVTFETを含む。
中間半導体デバイス100は、第1のS/D領域106の上面の埋め込み絶縁体層450と、埋め込み絶縁体450を貫いて延在し、第1のS/D領域106に接触するオフセット・パンチスルービア455と、をさらに含む。本発明の1つまたは複数の実施形態では、埋め込み絶縁体450およびオフセット・パンチスルービア455は、ウエハの前面に、すなわち、ウエハ101を反転させて裏面を露出させる前に形成される。第1のS/Dコンタクト106の長さは、第2のS/Dコンタクト116の長さよりも大きい。このようにして、第1のS/Dコンタクト106は、第2のS/Dコンタクト116を越えて延在し、オフセットビア455の端部の一部分を支持する延出部分107を提供する。埋め込み絶縁体層450は、例えば、SiOなどの酸化物材料から構成された層を含むことができる。オフセット・パンチスルービア455は、金属材料などの導電性材料から構成することができる。
図20に目を向けると、オフセット・メモリ素子217がオフセット・パンチスルービア455の上面に形成されている。オフセット・メモリ素子217は、オフセット・パンチスルービア455の上面に形成されたメモリ・コンタクト212と、メモリ・コンタクト212上に積層された複数のMTJ層と、を含むことができる。オフセット・メモリ素子217の形成は、図9および図10に示す217を形成するために使用される同様の製造技術を実行することによって達成することができる。ビット線220は、ILD層219の上面に形成され、オフセット・メモリ素子217の上面に接触して、完成されたMRAM半導体デバイス100を形成する。
ここで図21および図22に参照すると、本発明の1つまたは複数の実施形態による中間半導体デバイス100の断面図が示されている。図21を参照すると、中間半導体デバイス100は、第1のS/D領域106と第2のS/D領域116との間に延在する1つまたは複数のチャネル領域110を有するVTFETを含む。ゲート構造113は、チャネル領域110の外側部分を包み込む。
中間半導体デバイス100は、埋め込み絶縁体層500をさらに含む。埋め込み絶縁体層500は、第1のS/D領域106の上面に形成されている。埋め込み絶縁体層500は、SiOを含むが、これに限定されない酸化物材料から構成することができる。
図22に目を向けると、第1のS/D領域106の上面に形成された裏面ビア/コンタクト502の形成後の完成したMRAM半導体デバイスが示されている。裏面ビア/コンタクト502は、埋め込み絶縁体層500の一部分を除去して、第1のS/D領域106の上面を露出させるボイド(図示せず)を形成することによって形成することができる。次いで、ボイドを、例えば、金属材料などの導電性材料で充填して、裏面ビア/コンタクト502を形成する。
さらに図22を参照すると、メモリ素子217が裏面ビア/コンタクト502の上面に形成されている。メモリ素子217は、裏面ビア/コンタクト502の上に形成されたメモリ・コンタクト212と、メモリ・コンタクト212上に積層された複数のMTJと、を含むことができる。メモリ素子217の形成は、図9および図10に示す217を形成するために使用される同様の製造技術を実行することによって達成することができる。ビット線220は、ILD層219の上面に形成されメモリ素子217の上面に接触してMRAM半導体デバイス100を形成する。
本明細書に記載されるように、本発明の様々な非限定的な実施形態は、従来のBEOL製造技術を妨げるMTJの高さ制約を克服する裏面メモリ・アーキテクチャを有するMRAMデバイスを提供することによって従来技術の欠点に対処する。この裏面メモリ・アーキテクチャにより、裏面ビット線をメモリ・アレイのエッジに沿って前面に渡すこともでき、それによってMRAMデバイスの全体的な占有面積がさらに削減される。
本発明の1つまたは複数の実施形態は、関連する図面を参照して本明細書に記載されている。代替の実施形態は、範囲から逸脱することなく考案することができる。様々な接続および位置関係(例えば、の上に、の下に、に隣接するなど)が、以下の説明および図面の要素間で述べられているが、当業者は、本明細書に記載された位置関係の多くが、向きが変更されても記載された機能性が維持される場合は、向きに無関係であることを認識されるであろう。これらの接続または位置関係あるいはその両方は、別段の規定がない限り、直接的または間接的であってもよく、本発明は、この点に関して限定的であることは意図されていない。したがって、エンティティの結合は、直接的または間接的な結合のいずれかを指すことができ、エンティティ間の位置関係は、直接的または間接的な位置関係であってもよい。間接的な位置関係の例として、層「B」の上に層「A」を形成することに対する本明細書における言及は、層「A」および層「B」の関連する特徴および機能性が中間層によって実質的に変化しない限り、1つまたは複数の中間層(例えば層「C」)が層「A」と層「B」との間にある状況を含む。
以下の定義および略語は、特許請求の範囲および本明細書の解釈に使用されるべきである。本明細書で使用されるとき、用語「備える」、「備えている」、「含む」、「含んでいる」、「有する」、「有している」、「含有する」、または「含有している」、あるいはそれらの任意の他の変形形態は、非排他的な包含を含むことが意図されている。例えば、要素の列挙を含む組成物、混合物、プロセス、方法、物品、または装置は、必ずしもそれらの要素だけに限定されず、そのような組成物、混合物、プロセス、方法、物品、または装置に明示的に列挙されていない、あるいは固有の他の要素を含むことができる。
さらに、用語「例示的」は、本明細書では、「例、実例、または例示として役立つ」ことを意味するために使用される。「例示的」として本明細書に記載された任意の実施形態または設計は、他の実施形態または設計よりも好ましいもしくは有利であると必ずしも解釈されるべきではない。用語「少なくとも1つ」および「1つまたは複数」は、1以上の任意の整数、すなわち1、2、3、4などを含むと理解される。用語「複数」は、2以上の任意の整数、すなわち2、3、4、5などを含むと理解される。用語「接続」は、間接的な「接続」および直接の「接続」を含むことができる。
「一実施形態」、「実施形態」、「例示的実施形態」などへの本明細書における言及は、記載された実施形態が特定の特徴、構造、または特性を含むことを示すが、すべての実施形態が特定の特徴、構造、または特性を含んでも、含まなくてもよい。さらに、そのような言い回しは、必ずしも同じ実施形態に言及しているわけではない。さらに、特定の特徴、構造、または特性がある実施形態に関連して記載されている場合、明示的に記載されているかどうかにかかわらず、他の実施形態に関連するそのような特徴、構造、または特性に影響を与えることは、当業者の知識の範囲内であろう。
以降の説明の目的のために、用語「上部」、「下部」、「右」、「左」、「垂直」、「水平」、「頂部」、「底部」およびそれらの派生語は、図面内で方向付けされているような、記載された構造および方法に関連するものとする。用語「上にある」、「頂部に」、「上に配置された」、または「頂部に配置された」は、第1の構造などの第1の要素が第2の構造などの第2の要素の上に存在し、第1の要素と第2の要素との間に界面構造などの介在する要素が存在してもよいことを意味する。用語「直接接触」は、第1の構造などの第1の要素および第2の構造などの第2の要素が、2つの要素の界面でいかなる中間の導電性、絶縁性、または半導体層なしで接続されていることを意味する。
例えば、「第2の要素に対して選択的な第1の要素」などの句「選択的に」は、第1の要素をエッチングすることができ、第2の要素がエッチストップとして作用することができることを意味する。
用語「約」、「実質的に」、「およそ」、およびそれらの変形形態は、出願を申請する時点で利用可能な機器に少なくとも部分的に基づいて特定の量の測定に関連付けられた誤差の程度を含むことが意図されている。例えば、「約」は、所与の値の±8%もしくは5%、または2%の範囲を含むことができる。
本明細書で前述したように、簡潔にするために、半導体デバイスおよび集積回路(IC)製造に関連する従来の技術は、本明細書で詳細に記載される場合もあり、記載されない場合もある。しかしながら、背景として、本発明の1つまたは複数の実施形態を実施する際に利用することができる半導体デバイス製造プロセスのより一般的な説明がここで提供される。本発明の1つまたは複数の実施形態を実施するのに使用される特定の製造作業を個々に知ることができるが、本発明の記載された作業の組合せ、または結果として生じる構造、あるいはその両方は、独特である。したがって、本発明による半導体デバイスの製造に関連して記載される作業の独特の組合せは、半導体(例えば、シリコン)基板上で実行される様々な個別に知られた物理的および化学的プロセスを利用し、それらの一部は、すぐ後の段落に記載されている。
一般に、ICにパッケージ化されるマイクロチップを形成するために使用される様々なプロセスは、4つの一般的なカテゴリ、すなわち、膜堆積、除去/エッチング、半導体ドーピング、およびパターニング/リソグラフィに分類される。堆積は、ウエハ上に材料を成長、被覆、さもなければ転写する任意のプロセスである。利用可能な技術には、物理的気相堆積(PVD)、化学気相堆積(CVD)、電気化学堆積(ECD)、分子線エピタキシ(MBE)、そして最近では、とりわけ原子層堆積(ALD)が含まれる。
リセスプロセスには、ウエハから材料を除去するエッチング、研削などの任意のプロセスが含まれる。例には、エッチング・プロセス(ウェットまたはドライ)、および化学機械平坦化(CMP)などが含まれる。半導体ドーピングは、一般に、拡散またはイオン注入あるいはその両方によって、例えば、トランジスタのソースおよびドレインをドーピングすることによる電気的性質の変更である。これらのドーピングプロセスに続いて、炉アニーリングまたは急速熱アニール(RTA)が行われる。アニーリングは、注入されたドーパントを活性化する働きをする。導体(例えば、ポリシリコン、アルミニウム、銅など)および絶縁体(例えば、様々な形態の二酸化ケイ素、窒化ケイ素など)の両方の膜を使用して、トランジスタとそれらの構成要素を接続および分離する。半導体基板の様々な領域を選択的にドーピングすることにより、基板の導電率を電圧の印加によって変更することができる。
これらの様々な構成要素の構造を作成することによって、数百万のトランジスタを構築して互いに配線し、最新のマイクロ電子デバイスの複雑な回路を形成することができる。半導体リソグラフィは、半導体基板上に3次元リリーフ像またはパターンを形成し、その後そのパターンを基板に転写することである。半導体リソグラフィでは、パターンは、フォトレジストと呼ばれる感光性ポリマによって形成される。トランジスタを構成する複雑な構造、および回路の数百万のトランジスタを接続する多くのワイヤを構築するために、リソグラフィおよびエッチング・パターンの転写ステップが複数回繰り返される。ウエハ上に印刷される各パターンは、以前に形成されたパターンに位置合わせされ、徐々に、導体、絶縁体、および選択的にドープされた領域が構築され、最終デバイスを形成する。
図のフローチャートおよびブロック図は、本発明の1つまたは複数の実施形態による製造または動作方法あるいはその両方の可能な実施態様を示す。方法の様々な機能/動作は、ブロックによってフローチャートで表される。一部の代替の実施態様では、ブロックに記載された機能は、図に記載された順序から外れて発生することがある。例えば、連続して示されている2つのブロックは、実際には、実質的に同時に実行することができ、またはブロックは、含まれている機能性に応じて、時には逆の順番で実行することができる。
本発明の1つまたは複数の実施形態の説明は、例示を目的として提示されたが、網羅的であること、または記載された実施形態に限定されることは意図されていない。多くの変更形態および変形形態が記載された実施形態の範囲から逸脱せずに当業者には明らかであろう。本明細書で使用される用語は、実施形態の原理、市場で見出される技術に対する実際の応用または技術的改良を最も良く説明するように、あるいは他の当業者が本明細書に記載された実施形態を理解することができるように選択された。

Claims (11)

  1. 水平軸に沿って延在して、第1の側および前記第1の側と反対側の第2の側を画定し、垂直軸に沿って延在してウエハの高さを画定する、ウエハと、
    前記ウエハ上の半導体デバイスであって、
    前記ウエハの前記第1の側の少なくとも1つのゲート構造、
    前記ウエハの前記第1の側の第1のソース/ドレイン領域であり、前記少なくとも1つのゲート構造の第1の端部に接触する第1のソース/ドレイン領域、
    前記ウエハの前記第2の側の第2のソース/ドレイン領域であり、前記第1の側に延在して前記第1の端部の反対側に位置する前記少なくとも1つのゲート構造の第2の端部に接触する第2のソース/ドレイン領域、および
    前記ウエハの前記第2の側のメモリ記憶素子であり、前記第2のソース/ドレイン領域に接触するメモリ記憶素子、
    を含前記第2のソース/ドレイン領域が、前記第1のソース/ドレイン領域よりも大きな長さを有し、水平方向に前記第1のソース/ドレイン領域を越えて延在する延出部を画定し、前記第2のソース/ドレイン領域の上面の埋め込み絶縁体層と、前記埋め込み絶縁体層を貫いて延在し、前記ゲート構造に対してオフセットされるように前記延出部に接触する導電性パンチスルービアとをさらに含み、前記メモリ記憶素子は、前記導電性パンチスルービアの表面に接触し、前記ゲート構造に対してオフセットされている、前記半導体デバイスと、
    を含む、メモリデバイス。
  2. 前記ウエハの前記第1の側の第1の導電性要素であって、前記第1のソース/ドレイン領域に接触してソース線を画定する、前記第1の導電性要素と、
    前記ウエハの前記第1の側の第2の導電性要素であって、前記ソース線から電気的に絶縁されてワード線を画定する、前記第2の導電性要素と、
    前記ウエハの前記第2の側の第3の導電性要素であって、前記メモリ記憶素子に接触してビット線を画定する、前記第3の導電性要素と、
    をさらに含む、請求項に記載のメモリデバイス。
  3. 前記メモリ記憶素子が磁気記憶素子を画定するために前記垂直軸に沿って積層された複数の個別の磁気トンネル接合(MTJ)層を含む、請求項に記載のメモリデバイス。
  4. 前記第2のソース/ドレイン領域と前記磁気記憶素子との間に介在する導電性のメモリ・コンタクトをさらに含む、請求項に記載のメモリデバイス。
  5. 前記複数の個別のMTJ層が、
    前記メモリ・コンタクトの表面の固定磁性層と、
    前記固定磁性層上の誘導体トンネル障壁層と、
    前記誘導体トンネル障壁層上の自由磁性層と、
    を含む、請求項に記載のメモリデバイス。
  6. 前記固定磁性層および前記自由磁性層が強磁性材料で構成され、前記誘導体トンネル障壁層が酸化物材料を含む、請求項に記載のメモリデバイス。
  7. 前記酸化物材料がアルミニウム酸化物(AlO)である、請求項に記載のメモリデバイス。
  8. 前記少なくとも1つのゲート構造が前記第1のソース/ドレイン領域と前記第2のソース/ドレイン領域との間に介在する複数のゲート構造を含む、請求項ないしのいずれか一項に記載のメモリデバイス。
  9. 半導体ウエハの第1の側に、少なくとも1つのゲート構造、第1のソース/ドレイン領域を、前記第1の側と反対側の前記半導体ウエハの第2の側に、第2のソース/ドレイン領域を含む半導体デバイスを形成することであって、前記第のソース/ドレイン領域が、前記第のソース/ドレイン領域よりも大きな長さを有し、水平方向に前記第のソース/ドレイン領域を越えて延在する延出部を画定する、前記形成することと、
    前記半導体ウエハの前記第の側に、前記第のソース/ドレイン領域の上面の埋め込み絶縁体層を形成することと、
    前記埋め込み絶縁体層を貫いて延在し、前記ゲート構造に対してオフセットされるように前記延出部に接触する導電性パンチスルービアを形成することと、
    記半導体ウエハの前記第2の側に、オフセット・メモリ記憶素子を形成することであって、前記オフセット・メモリ記憶素子が、前記導電性パンチスルービアの表面に接触し、前記ゲート構造に対してオフセットされている、前記形成することと、
    を含む、メモリデバイスを製造する方法。
  10. 前記導電性パンチスルービアを形成することが、
    前記半導体ウエハの前記第1の側に、前記導電性パンチスルービアを形成することと、
    前記半導体ウエハを反転させて前記第2の側を露出させることと、
    前記第2の側の一部分を除去して前記導電性パンチスルービアの前記表面を露出させることと、
    を含む、請求項に記載の方法。
  11. 前記オフセット・メモリ記憶素子の上面にビット線を形成することをさらに含む、請求項10に記載の方法。
JP2020501301A 2017-07-19 2018-07-18 メモリデバイスを製造する方法、およびメモリデバイス Active JP7106626B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/654,282 US10446606B2 (en) 2017-07-19 2017-07-19 Back-side memory element with local memory select transistor
US15/654,282 2017-07-19
PCT/IB2018/055340 WO2019016728A1 (en) 2017-07-19 2018-07-18 BACK SIDE MEMORY ELEMENT HAVING LOCAL MEMORY SELECTION TRANSISTOR

Publications (2)

Publication Number Publication Date
JP2020528666A JP2020528666A (ja) 2020-09-24
JP7106626B2 true JP7106626B2 (ja) 2022-07-26

Family

ID=65016294

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020501301A Active JP7106626B2 (ja) 2017-07-19 2018-07-18 メモリデバイスを製造する方法、およびメモリデバイス

Country Status (6)

Country Link
US (3) US10446606B2 (ja)
JP (1) JP7106626B2 (ja)
CN (1) CN110892531B (ja)
DE (1) DE112018003670T5 (ja)
GB (1) GB2579729B (ja)
WO (1) WO2019016728A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101341141B1 (ko) * 2011-11-30 2013-12-13 (주)플러스세정 실크 인쇄장치

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019066963A1 (en) * 2017-09-29 2019-04-04 Intel Corporation VERTICAL REAR SIDE TRANSISTOR WITH FERROELECTRIC MATERIAL
US11888034B2 (en) 2019-06-07 2024-01-30 Intel Corporation Transistors with metal chalcogenide channel materials
US11777029B2 (en) 2019-06-27 2023-10-03 Intel Corporation Vertical transistors for ultra-dense logic and memory applications
US11239244B2 (en) * 2019-06-27 2022-02-01 Taiwan Semiconductor Manufacturing Company Limited Partial buried insulator nano-sheet device
US11171243B2 (en) 2019-06-27 2021-11-09 Intel Corporation Transistor structures with a metal oxide contact buffer
US11024670B1 (en) 2019-11-26 2021-06-01 International Business Machines Corporation Forming an MRAM device over a transistor
US11430861B2 (en) 2019-12-27 2022-08-30 Kepler Computing Inc. Ferroelectric capacitor and method of patterning such
US11482528B2 (en) 2019-12-27 2022-10-25 Kepler Computing Inc. Pillar capacitor and method of fabricating such
US11289497B2 (en) 2019-12-27 2022-03-29 Kepler Computing Inc. Integration method of ferroelectric memory array
US11107530B2 (en) 2019-12-31 2021-08-31 Taiwan Semiconductor Manufacturing Company Limited Non-volatile static random access memory (nvSRAM) with multiple magnetic tunnel junction cells
CN111357108B (zh) * 2020-02-20 2021-06-08 长江存储科技有限责任公司 具有xtacking架构的dram存储器件
US11411048B2 (en) * 2020-02-21 2022-08-09 International Business Machines Corporation Magnetoresistive random-access memory device structure
CN113497083B (zh) 2020-04-01 2023-09-22 联华电子股份有限公司 具有共用源极线和位线的磁性存储器装置
US11658220B2 (en) 2020-04-24 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Drain side recess for back-side power rail device
TWI787787B (zh) * 2020-04-24 2022-12-21 台灣積體電路製造股份有限公司 半導體電晶體裝置及形成半導體電晶體裝置的方法
TWI770950B (zh) 2020-04-28 2022-07-11 台灣積體電路製造股份有限公司 記憶體單元、記憶體系統與記憶體單元的操作方法
US11404424B2 (en) * 2020-04-28 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Static random access memory with magnetic tunnel junction cells
US11239325B2 (en) * 2020-04-28 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having backside via and method of fabricating thereof
US11581224B2 (en) 2020-05-08 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming long channel back-side power rail device
US11121097B1 (en) * 2020-05-22 2021-09-14 Globalfoundries U.S. Inc. Active x-ray attack prevention device
US11723218B2 (en) * 2020-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US11502179B2 (en) * 2020-08-24 2022-11-15 Micron Technology, Inc. Integrated assemblies containing ferroelectric transistors, and methods of forming integrated assemblies
US11482594B2 (en) * 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
US11437329B2 (en) 2020-10-14 2022-09-06 Globalfoundries U.S. Inc. Anti-tamper x-ray blocking package
US11785782B1 (en) 2021-06-11 2023-10-10 Kepler Computing Inc. Embedded memory with encapsulation layer adjacent to a memory stack
US11626558B2 (en) 2021-09-01 2023-04-11 Changxin Memory Technologies, Inc. Semiconductor structure and manufacturing method thereof, and memory
CN115867043A (zh) * 2021-09-01 2023-03-28 长鑫存储技术有限公司 半导体结构及其制作方法、存储器
US11817501B2 (en) 2021-09-22 2023-11-14 International Business Machines Corporation Three-dimensional, monolithically stacked field effect transistors formed on the front and backside of a wafer
US11815717B2 (en) 2021-11-12 2023-11-14 Globalfoundries U.S. Inc. Photonic chip security structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020140016A1 (en) 2001-03-28 2002-10-03 Cha Seon Yong Magnetic random access memory having a transistor of vertical structure and the method thereof
US20100109061A1 (en) 2008-11-04 2010-05-06 Kabushiki Kaisha Toshiba Semiconductor memory device and manufacturing method for semiconductor memory device
WO2010140615A1 (ja) 2009-06-03 2010-12-09 株式会社日立製作所 半導体記憶装置
JP2015082564A (ja) 2013-10-22 2015-04-27 ソニー株式会社 メモリセル構造、メモリ製造方法、メモリ装置
WO2017057046A1 (ja) 2015-10-02 2017-04-06 ソニー株式会社 半導体装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003255254A1 (en) 2002-08-08 2004-02-25 Glenn J. Leedy Vertical system integration
US8471263B2 (en) * 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US6947306B2 (en) 2003-09-30 2005-09-20 Infineon Technologies Ag Backside of chip implementation of redundancy fuses and contact pads
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7679118B2 (en) 2005-06-13 2010-03-16 Micron Technology, Inc. Vertical transistor, memory cell, device, system and method of forming same
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
ITTO20060355A1 (it) * 2006-05-16 2007-11-17 Technisub Spa Pinna per il nuoto
US7402866B2 (en) 2006-06-27 2008-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contacts for MOS devices
US8749067B2 (en) * 2010-08-18 2014-06-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for forming the same
US8300454B2 (en) 2010-09-17 2012-10-30 Micron Technology, Inc. Spin torque transfer memory cell structures and methods
JP2015050339A (ja) * 2013-09-02 2015-03-16 ソニー株式会社 半導体装置およびその製造方法
US20150079739A1 (en) 2013-09-16 2015-03-19 United Microelectronics Corp. Method for manufacturing semiconductor substrate
US9252148B2 (en) 2014-01-22 2016-02-02 Micron Technology, Inc. Methods and apparatuses with vertical strings of memory cells and support circuitry
US9780117B2 (en) 2014-10-22 2017-10-03 Qualcomm Incorporated Semiconductor structure with active device and damaged region
US9472595B1 (en) 2015-03-24 2016-10-18 Avalanche Technology, Inc. Perpendicular MRAM with magnet

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020140016A1 (en) 2001-03-28 2002-10-03 Cha Seon Yong Magnetic random access memory having a transistor of vertical structure and the method thereof
JP2002329846A (ja) 2001-03-28 2002-11-15 Hynix Semiconductor Inc マグネチックラムおよびその形成方法
US20100109061A1 (en) 2008-11-04 2010-05-06 Kabushiki Kaisha Toshiba Semiconductor memory device and manufacturing method for semiconductor memory device
JP2010114143A (ja) 2008-11-04 2010-05-20 Toshiba Corp 半導体記憶装置および半導体記憶装置の製造方法
WO2010140615A1 (ja) 2009-06-03 2010-12-09 株式会社日立製作所 半導体記憶装置
US20120081952A1 (en) 2009-06-03 2012-04-05 Takayuki Kawahara Semiconductor storage device
JP2015082564A (ja) 2013-10-22 2015-04-27 ソニー株式会社 メモリセル構造、メモリ製造方法、メモリ装置
US20160260774A1 (en) 2013-10-22 2016-09-08 Sony Corporation Memory cell structure, method of manufacturing a memory, and memory apparatus
WO2017057046A1 (ja) 2015-10-02 2017-04-06 ソニー株式会社 半導体装置
US20180277594A1 (en) 2015-10-02 2018-09-27 Sony Corporation Semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101341141B1 (ko) * 2011-11-30 2013-12-13 (주)플러스세정 실크 인쇄장치

Also Published As

Publication number Publication date
CN110892531A (zh) 2020-03-17
CN110892531B (zh) 2023-09-12
US10446606B2 (en) 2019-10-15
GB202001670D0 (en) 2020-03-25
DE112018003670T5 (de) 2020-05-14
US20190259807A1 (en) 2019-08-22
US20210313391A1 (en) 2021-10-07
GB2579729B (en) 2022-06-08
GB2579729A (en) 2020-07-01
US11101318B2 (en) 2021-08-24
JP2020528666A (ja) 2020-09-24
US20190027535A1 (en) 2019-01-24
WO2019016728A1 (en) 2019-01-24

Similar Documents

Publication Publication Date Title
JP7106626B2 (ja) メモリデバイスを製造する方法、およびメモリデバイス
US9070871B2 (en) Method for fabricating magnetoresistive random access memory element
US11335850B2 (en) Magnetoresistive random-access memory device including magnetic tunnel junctions
US10937479B1 (en) Integration of epitaxially grown channel selector with MRAM device
US11929436B2 (en) Thin transistor including a hydrogen-blocking dielectric barrier and methods for forming the same
JP2023517839A (ja) 逆ワイド・ベース二重磁気トンネル接合デバイス
US10205090B2 (en) Semiconductor memory device
US7700427B2 (en) Integrated circuit having a Fin structure
US10475496B1 (en) Reduced shorts in magnetic tunnel junctions
US11545523B2 (en) Semiconductor device with embedded magnetic storage structure and method for fabricating the same
US11569438B2 (en) Magnetoresistive random-access memory device
US11081640B2 (en) Magnetic random access memory bottom electrode self-aligned to underlying interconnect structures
US20220302207A1 (en) Same level mram stacks having different configurations
US20230065769A1 (en) Memory device, semiconductor die, and method of fabricating the same
US20240090229A1 (en) Grid structure to reduce domain size in ferroelectric memory device
CN112103387A (zh) 自旋转移矩磁阻随机存取存储器装置及其制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220428

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220705

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220713

R150 Certificate of patent or registration of utility model

Ref document number: 7106626

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150