JP2023517839A - 逆ワイド・ベース二重磁気トンネル接合デバイス - Google Patents

逆ワイド・ベース二重磁気トンネル接合デバイス Download PDF

Info

Publication number
JP2023517839A
JP2023517839A JP2022549644A JP2022549644A JP2023517839A JP 2023517839 A JP2023517839 A JP 2023517839A JP 2022549644 A JP2022549644 A JP 2022549644A JP 2022549644 A JP2022549644 A JP 2022549644A JP 2023517839 A JP2023517839 A JP 2023517839A
Authority
JP
Japan
Prior art keywords
layer
tunnel junction
magnetic tunnel
transport layer
spin transport
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022549644A
Other languages
English (en)
Inventor
ハーシェミー、プーヤ
ドリス、ブルース
ノヴァク、ヤヌシュ、ヨゼフ
スン、ジョナサン、ザンホン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023517839A publication Critical patent/JP2023517839A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Hall/Mr Elements (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Magnetic Heads (AREA)

Abstract

二重磁気トンネル接合デバイスを製造する方法が提供される。この方法は、第1の磁気トンネル接合スタックを形成すること、第1の磁気トンネル接合スタック上にスピン伝導層を形成すること、および、スピン伝導層上に第2の磁気トンネル接合スタックを形成することを含む。第2の磁気トンネル接合スタックは、第1の磁気トンネル接合スタックの幅よりも大きい幅を有する。

Description

本発明は、二重磁気トンネル接合(DMTJ)スタックを含む磁気抵抗ランダムアクセスメモリ(MRAM)デバイス・セルおよびMRAMデバイスを製造する方法に関する。トンネル磁気抵抗(TMR)および書き込み効率は、MRAMデバイスの性能に影響する要因であり、特定のDMTJデバイスは、低い磁気抵抗率の問題が生じ得る。
本発明の実施形態は、二重磁気トンネル接合デバイスを製造する方法に関する。この方法は、第1の磁気トンネル接合スタックを形成すること、第1の磁気トンネル接合スタック上にスピン伝導層を形成すること、および、スピン伝導層上に第2の磁気トンネル接合スタックを形成することを含む。第2の磁気トンネル接合スタックは、第1の磁気トンネル接合スタックの幅よりも大きい幅を有する。
他の実施形態は二重磁気トンネル接合デバイスに関する。二重磁気トンネル接合デバイスは、第1の磁気トンネル接合スタック、第1の磁気トンネル接合スタック上のスピン伝導層、および、スピン伝導層上の第2の磁気トンネル接合スタックを含む。第2の磁気トンネル接合スタックは、第1の磁気トンネル接合スタックの幅よりも大きい幅を有する。
上記の概要は、各例示の実施形態、または、本発明のあらゆる実装を説明することを意図するものではない。
本出願に含まれる図面は、明細書に組み込まれ、明細書の一部を形成する。それらは、本発明の実施形態を例示し、説明とともに本発明の原理を説明する。図面は、特定の実施形態を例示するのみであり、本発明を限定するものではない。
実施形態による、二重磁気トンネル接合(DMTJ)スタックの下に形成されるバック・エンド・オブ・ライン・ベース層の断面図。 実施形態による、追加の製造動作の後の図1のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図2のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図3のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図4のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図5のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図6のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図7のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図8のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図9のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図10のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図11のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図12のDMTJデバイスの断面図。 実施形態による、追加の製造動作の後の図13のDMTJデバイスの断面図。
本開示は、二重磁気トンネル接合(DMTJ)スタックを含むMRAMデバイスおよびMRAMデバイスを製造する方法を説明する。特に、本開示は、逆ワイド・ベース(Inverted wide base)に垂直にスタックされた(すなわち、上部MTJスタックが底部MTJスタックよりも大きい臨界寸法(CD)を有する)2つのMJTスタックを有する単一ビットMRAMデバイスを説明する。
本発明の種々の実施形態は、関連する図面を参照して本明細書で説明される。本発明の範囲から逸脱することなく、代替の実施形態が考案され得る。以下の説明および図面において、種々の接続および位置関係(例えば、上、下、隣接など)が要素間に記載されていることに留意されたい。これらの接続もしくは位置関係またはその両方は、特に断りがない限り、直接的または間接的であってよく、本発明はこの点に関して限定することを意図していない。したがって、エンティティの結合は、直接的な結合または間接的な結合のいずれかを指すことができ、エンティティ間の位置関係は、直接的な位置関係または間接的な位置関係とすることができる。間接的な位置関係の一例として、層「B」の上に層「A」を形成することについての本明細書における言及は、層「A」および層「B」の関連する特性および機能が中間層(例えば、層「C」)によって実質的に変更されない限り、1つまたは複数の中間層が層「A」および層「B」の間にある状況を含む。
以下の定義および略語は、請求の範囲および明細書の解釈のために使用される。本明細書において、用語「含む(comprises)」、「含んでいる(comprising)」、「含む(includes)」、「含んでいる(including)」、「有する(has)」、「有している(having)」、「包含する(contains)」、「包含している(containing)」、またはこれらのその他の任意の変形は、非排他的な含有をカバーすることが意図される。例えば、要素のリストを含む組成物、混合物、プロセス、方法、物品または装置は、必ずしもそれらの要素のみに限定されるものではなく、明示的にリストされていないその他の要素、または、そのような組成物、混合物、プロセス、方法、物品または装置に固有のその他の要素を含むことができる。
以下の説明を目的として、用語「上(upper)」、「下(lower)」、「右(right)」、「左(left)」、「垂直(vertical)」、「水平(horizontal)」、「頂部(top)」、「底部(bottom)」、およびこれらの派生は、図面において配向されるように、記載された構造および方法に関連する。用語「上に横たわる(overlying)」、「の上(atop)」、「の上(on top)」、「の上に配置(positioned on)」、または「の上に配置(positioned atop)」は、第1の構造などの第1の要素が、第2の構造などの第2の要素上に存在し、界面構造などの介在要素が、第1の要素と第2の要素との間に存在し得ることを意味する。用語「直接接触」は、第1の構造などの第1の要素と、第2の構造などの第2の要素とが、2つの要素の界面において、いかなる中間の導電層、絶縁層、または半導体層を有さずに接続されることを意味する。例えば「第2の要素に対して選択的な第1の要素(a first element selective to a second element)」などの用語「選択的(selective to)」は、第1の要素をエッチングすることができ、第2の要素がエッチングストップとして作用することができることを意味することに留意すべきである。
簡潔のために、半導体デバイスおよび集積回路(IC)の製造に関する従来技術は、本明細書で詳細に説明される場合も、されない場合もある。さらに、本明細書で説明される種々のタスクおよびプロセスのステップは、本明細書に詳細に記載されていない追加的なステップまたは機能を有する、より包括的な手順またはプロセスに組み込まれ得る。特に、半導体デバイスおよび半導体ベースのICの製造における種々のステップはよく知られているので、簡潔にするために、多くの従来のステップは、ここで簡単に言及されるか、よく知られているプロセスの詳細を提供することなく完全に省略される。
一般に、IC内にパッケージされるマイクロチップを形成するために使用される種々のプロセスは、4つの一般的なカテゴリ、すなわち、膜堆積、除去/エッチング、半導体ドーピング、およびパターニング/リソグラフィに分類される。
堆積は、ウェハ上への材料の成長、コーティング、またはその他の移送を行う任意のプロセスである。利用可能な技術は、物理的気相成長(PVD)、化学的気相成長(CVD)、電気化学的析出(ECD)、分子線エピタキシー(MBE)、および近年では原子層堆積(ALD)を含む。他の堆積技術は、プラズマ励起化学的気相成長(PECVD)であり、これは、プラズマ内のエネルギーを使用して、従来のCVDよりも高い温度を必要とするウェハ表面での反応を起こすプロセスである。PECVD堆積の間のエネルギーイオン衝突は、膜の電気的および機械的特性も改善できる。
除去/エッチングは、ウェハから材料を取り除く任意のプロセスである。例としては、エッチング・プロセス(ウェットまたはドライ)、化学機械的平坦化(CMP)などがある。除去プロセスの一例は、イオン・ビーム・エッチング(IBE)である。一般に、IBE(またはミリング)は、離間した、ブロードなビームのイオン/プラズマ源を利用して、物理的不活性ガスもしくは化学的反応性ガス手段またはその両方によって基板材料を除去する、ドライ・プラズマ・エッチング法を指す。他のドライ・プラズマ・エッチング技術と同様に、IBEは、エッチング速度、異方性、選択性、均一性、アスペクト比、および基板ダメージの最小化などの利点を有する。ドライ除去プロセスの他の例は、反応性イオンエッチング(RIE)がある。一般に、RIEは、化学的な反応性プラズマを使用して、ウェハ上に堆積された材料を除去する。RIEでは、電磁場によって、低圧(真空)下でプラズマが生成される。RIEプラズマからの高エネルギーイオンが基板表面に衝突して、それと反応し、材料を除去する。
半導体ドーピングは、一般に拡散もしくはイオン注入またはその両方によって、例えばトランジスタのソースおよびドレインをドーピングすることによる、電気的特性の変更である。これらのドーピングプロセスは、炉アニール、または急速熱アニール(RTA)が後続する。アニールは、注入されたドーパントの活性化を提供する。導電体(例えば、ポリシリコン、アルミニウム、銅など)および絶縁体(例えば、種々の形態の二酸化ケイ素、窒化ケイ素など)の両者の膜が、トランジスタおよびそれらの構成要素の接続および絶縁に使用される。半導体基板の種々の領域の選択的ドーピングをすることで、電圧の印加によって基板の伝導率を変化させることが可能になる。これらの種々の構成要素の構造を作製することにより、数百万個のトランジスタが構築され、かつ、一体的に配線され、現代のマイクロ電子デバイスの複雑な回路を形成できる。
半導体リソグラフィは、3次元のレリーフイメージまたはパターンを半導体基板に形成したあと、パターンを基板に転写する。半導体リソグラフィにおいては、パターンは、フォトレジストと呼ばれる感光性ポリマーによって形成される。トランジスタを構成する複雑な構造および回路の数百万個のトランジスタを接続する多数の配線を構築するために、リソグラフィおよびエッチング・パターン転写ステップは、複数回繰り返される。ウェハ上にプリントされる各パターンは、以前に形成されたパターンに位置合わせされ、導電体、絶縁体および選択的ドープ領域が徐々に構築されて、最終的なデバイスが形成される。
ここで、本発明の態様に特に関連する技術の概要に移ると、埋め込みDRAM(eDRAM)は、特定用途向け集積回路(ASIC)またはマイクロプロセッサの同じダイまたはマルチチップモジュール(MCM)上に集積されたダイナミック・ランダム・アクセス・メモリ(DRAM)である。eDRAMは、半導体製造における従来のシリコン基板の代わりに、層状のシリコン-絶縁体-シリコン基板の使用を指す、シリコン・オン・インシュレータ(SOI)技術で実装される。eDRAM技術は、さまざまな程度での成功を収めており、サーバ・メモリ・オプションとしてのSOI技術の需要は、近年減少している。
磁気トンネル接合(MTJ)を使用する磁気抵抗ランダムアクセスメモリ(MRAM)デバイスは、既存のeDRAM技術を置き換える1つのオプションである。MRAMは、不揮発性メモリであり、この利点が、このメモリ技術の開発を加速させる原動力となる。現在のMRAM MTJ構造は、相対的に遅く、eDRAMに匹敵するMJTの書き込み目標速度(~5ns)に達するための唯一の方法は、二重磁気トンネル接合(DMTJ)を使用することである。
特定のDMTJデバイスでは、ワイド非磁性ベース変更DMTJデバイスを使用して、同様の臨界寸法(CD)を有する頂部MTJおよび底部MTJを有する標準的なDMTJに両方が関連する、抵抗領域(RA)ペナルティおよび磁気抵抗(MR)ペナルティの両方を排除することによって、MTJのスイッチング特性を向上する。これらのタイプのワイド・ベース・デバイスは、二重スピン電流供給(DSTT)の利点を提供する。また、これらのタイプのデバイスでは、底部バリア層は、比較的高いRAを有することができる。これらのあるデバイスは、2つのMTJスタック間に設けられた非磁性(NM)金属層内のスピン拡散輸送を活用し、底部MgO層を通る電荷電流密度の低減を達成できる。しかしながら、これらのあるワイド・ベースDMTJデバイスにおいて、MTJスタックの各々は、参照層を含む。分離した2つの参照層および中間NM層の組み合わせは、より高いDMTJスタックをもたらし、製造工程の複雑さが増加し、バリアを横切る電気的短絡が生じ得る。
本実施形態は、MTJスタックの1つが他方よりも広いベースを有する、DMTJ構造、および、DMTJ構造を製造する方法を含む。これらのある実施形態では、MRAMデバイスは反転した構造を有するDMTJ構造を含む(すなわち、頂部MTJスタックは、底部MTJスタックよりも大きな臨界寸法(CD)を有する)。
ここで、同様の符番が同じまたは類似の要素を示す図面を参照し、最初に図1に示す図面を参照すると、本実施形態が適用され得るDMTJスタックを製造する例示的な方法が示される。いくつかのバック・エンド・オブ・ライン(BEOL)層が形成される。一般に、BEOLは、個々のデバイス(トランジスタ、キャパシタ、抵抗など)がウェハ上の配線で相互接続される、IC製造の2番目の部分である。図1に示されるように、第1のBEOL層は、BEOL金属層102およびBEOL誘電体層100を含む。BEOL金属層102は、例えば、Cu、TaN、Ta、Ti、TiNまたはこれらの組み合わせを含むことができる。BEOL誘電体層100は、BEOL金属層102の側方に形成される。BEOL誘電体層100は、例えば、SiO、SiN、SiBCN、low-k、NBLOKまたは任意のその他の適切な誘電体材料が含まれ得る。
その他のBEOL層は、BEOL金属層102およびBEOL誘電体層100の上に形成される。特に、ビア充填層104は、BEOL金属層102上に形成され、ビア誘電体層106は、ビア充填層104の側方に形成される。最初に、ビア誘電体層106は、リソグラフィを介したパターニングによって形成され得る。次に、例えばRIEによってビア誘電体層106内にビアが形成され、後にビア充填層104を充填するための空間を除去する。ある実施形態では、ビア充填層104は、W、Cu、TaN、Ta、Ti、TiN、TiOCN、TaOCNまたはこれらの材料の組み合わせなどの材料を含む。ビア充填層104は、CVD、PVD、ALDまたはこれらの組み合わせによって形成され得る。ビア充填層104が形成されたあと、構造は、例えばCMPが行われ、さらなるプロセスのために表面が平坦化される。図1に示されるBEOL層を含む構造は、MTJスタックが形成される最初の構造である。
ここで、図2を参照すると、シード層202がビア誘電体層106の上に形成される。シード層202は、第1のMTJスタック204の自由層のための成長表面として適切な結晶格子および粒状構造を有する。シード層202は、例えば、Ru、Ta、NiCrまたはこれらの材料の組み合わせを含む金属シード層とすることができる。
再び図2を参照すると、第1のMTJスタック204がシード層の上に形成される。一般に、MTJスタックは、磁気自由層、トンネル・バリア層および参照層(不図示)を含み得る。一般に、磁気自由層は、反転が可能な磁気モーメントまたは磁化を有する。ある実施形態では、トンネル・バリア層は、薄い絶縁層または電気ポテンシャルのような、2つの電気的な伝導層間のバリアである。電子(または準粒子)は、量子トンネルの過程によって、トンネル・バリアを通過する。ある実施形態では、トンネル・バリア層は、MgOから構成される少なくとも1つの副層を含む。ある実施形態では、MTJスタックの各層は、1オングストローム未満の厚さから、数オングストロームまたは数ナノメートルの厚さを有し得る。MTJスタック内の典型的な材料の例は、トンネル・バリア層用のMgO、自由層用のCoFeB、および参照層用の異なる材料を含む複数の層が含まれ得る。MRAM材料スタック(MTJスタック)は、これらの材料または上記の層に限定されないことを理解されたい。すなわち、MRAM材料スタックは、MRAMデバイスに使用される任意の既知の材料のスタックから構成され得る。さらに、第1のMTJスタック204および第2のMTJスタック704(図7参照)のいずれかが、追加の層を含むことができ、特定の層を省略でき、各層が任意の数の副層を含み得ることを理解されたい。さらに、層もしくは副層またはその組み合わせの組成は、第1のMTJスタック204および第2のMTJスタック704(図7参照)の間で異なってもよい。
図2に示すように、非磁性スピン伝導層206が、第1のMTJスタック204上に形成される。スピン伝導層206は、第1のMTJスタック204および第2のMTJスタック704(図7参照)の間に形成され、ある例では、Cu、CuN、Ag、AgSnまたはこれらの組み合わせが含まれ得る。一般にスピン伝導層206の機能は、第1のMTJスタック204のトンネル・バリア層からスピン電流を集めることである。
ここで、図3を参照すると、犠牲誘電体/有機ハードマスク・スタック302が、スピン伝導層206上に堆積され、続いてハードマスク・スタック302は、リソグラフィおよびRIEによってパターニングされる。ある実施形態では、ハードマスク・スタック302は、有機平坦層(OPL)材料、SiN、SiO、フォトレジスト、またはこれらの組み合わせから構成される。
ここで、図4を参照すると、第1のMTJスタック204は、パターンのための犠牲誘電体/有機ハードマスク・スタック302を利用しながら、IBEまたはRIEでパターニングされる。図4に示すように、エッチングは、ビア誘電体層106の内部(または頂部近傍)で停止される。したがって、エッチング手順の後、スピン伝導層206、第1のMTJスタック204およびシード層202の幅は縮小される。ある実施形態では、製造プロセスのこの段階において(すなわち、スピン伝導層206の形成後)、エアブレイクが用いられ得る。ある実施形態では、制御されたその場での(in-situ)酸化を利用して、金属の再堆積による部分的な電気的短絡を除去することができる。
ここで、図5を参照すると、第1の誘電体層502が堆積される。この第1の誘電体層502は、SiN、SiBCN、これらの組み合わせ、または任意のその他の適切な誘電体材料から構成され得る。図5に示されるように、第1の誘電体層502は、スピン伝導層206、第1のMTJスタック204およびシード層202の側壁を少なくとも覆うのに十分な高さで堆積される。特定の実施形態では、第1の誘電体層502は、最初に犠牲誘電体/有機ハードマスク・スタック302の頂部表面のレベルまで形成される。
ここで、図6を参照すると、デバイス上でCMPを行って、直近で堆積された第1の誘電体層502の膜厚の一部を除去する。CMPは、犠牲誘電体/有機ハードマスク・スタック302が除去される点まで行われ、スピン伝導層206の上面に一般に一致する。すなわち、スピン伝導層206の上面が露出するのに十分な材料が除去される。
ここで、図7を参照すると、スピン伝導層206の成長は、デバイスの表面全体を最初に覆うまで継続される。スピン伝導層206は、単一の層として示されているが、2つの別個のステップで成長されることを理解されたい。したがって、スピン伝導層206の上側部分の材料は、スピン伝導層206の下側部分の材料と同じであってもよいし、異なっていてもよい。ある実施形態では、スピン伝導層206の上側部分の形成前に、図6に関して上述したCMPのあとで、プレスパッタ・クリーニングを行い、任意の自然酸化物を除去してもよい。次に、第2のMTJスタック704が、スピン伝導層206の頂部の上に形成される。第2のMTJスタック704の数および種類は、第1のMTJスタック204内の層と同じであってもよいし、異なっていてもよい。次に、金属エッチングストップ層706が、第2のMTJスタック704上に形成される。金属エッチングストップ層706は、Ruまたは任意のその他の適切な金属もしくは合金から構成される。次に、頂部電極金属ハードマスク層708が、金属エッチングストップ層706上に形成される。頂部電極金属ハードマスク層708は、W、TaN、TiN、これらの組み合わせ、または任意のその他の適切な材料から構成される。次に、第2の犠牲誘電体/有機ハードマスク・スタック710が、頂部電極金属ハードマスク層708上に形成される。第2の犠牲誘電体/有機ハードマスク・スタック710は、図3に関して上述した第1の犠牲誘電体/有機ハードマスク・スタック302と同じまたは異なる材料(例えば、OPL、SiN、SiO、フォトレジストなど)で形成され得る。最後に、図7に示されるように、頂部電極金属ハードマスク層708および第2の犠牲誘電体/有機ハードマスク・スタック710が、リソグラフィおよびRIEによってパターニングされ、これらの層の幅が、事前に形成された第1のMTJスタック204の幅よりも広くなる。
ここで、図8を参照すると、第2のMTJスタック704が、第2の犠牲誘電体/有機ハードマスク・スタック710をマスクとして利用して、IBE、RIEまたはこれらの組み合わせによってパターニングされる。したがって、第2のMTJスタック704の幅およびスピン伝導層206の上側部分の幅は、第2の犠牲誘電体/有機ハードマスク・スタック710の幅とほぼ同じになるように縮小される。図8に示されるように、デバイスは、第1の誘電体層502の内部(例えば、頂部近傍)のレベルまでエッチングされる。この除去ステップの後でも、第2のMTJスタック704の幅は、第1のMTJスタック204の幅よりもまだ大きい。ある実施形態では、製造プロセスのこの段階において、エアブレイクが用いられ得る。ある実施形態では、制御されたその場での(in-situ)酸化を利用して、第2のMTJスタック704のMgOトンネル・バリア層(不図示)付近の金属の再堆積による部分的な電気的短絡を除去することができる。
ここで、図9を参照すると、誘電体封止層902が、スピン伝導層206、第2のMTJスタック704、金属エッチングストップ層706、および頂部電極金属ハードマスク層807の露出した表面を覆うように形成される。例えば、誘電体封止層902は、PVD、ALD、PECVD、AlO、TiO、BN、SiN、およびSiBCNの少なくとも1つを含み得る。ある実施形態では、誘電体封止層902の形成に続いて、デバイスは、例えば、プラズマO、H、N、NH、またはこれらの組み合わせを利用した任意の前処理を行うことができる。その後、層間誘電体層904が、隣接するDMTJデバイス間のスペースを充填するように、堆積および形成される。
ここで、図10を参照すると、CMP平坦化プロセスがデバイス上で行われ、頂部電極金属ハードマスク層708および誘電体封止層902の上部表面を露出させる。ここで、図11を参照すると、CMP平坦化プロセスに続いて、第2のILD層1100が、リソグラフィによって形成される。図12を参照すると、第2のILD層1100は、除去プロセス(例えば、RIE)が行われ、頂部電極金属ハードマスク層708および誘電体封止層902の一部をもう一度露出するために、第2のILD層1100の一部を除去する。ここで、図13を参照すると、図12のRIEプロセスに続き、充填ライナ1202が形成され、続いて図14に示されるビットライン1402が形成される。ある実施形態では、ビットラインは、Ta、TaN、Cu、またはこれらの任意の適切な組み合わせから構成される。
本実施形態では、DMTJデバイスは、関連する単一MTJデバイスと比較して、スイッチング効率(これは、保持力に比例し、スイッチング電流に反比例する)の向上を達成することができる。さらに、本実施形態は、スイッチング電流を潜在的に減少する、増加した磁気抵抗率を達成し得る。
様々な実施形態の説明が例示の目的のために提示されたが、網羅的であること、または開示された諸実施形態に限定されることを意図されてはいない。当業者には、説明した実施形態の範囲および思想から逸脱することなく、多くの変更および変形が明らかとなるであろう。本明細書において使用される用語は、実施形態の原理、実際の適用、または市場において見いだされる技術を超える技術的改善を最もうまく説明するため、あるいは他の当業者が、本明細書において開示される実施形態を理解することを可能にするために選定された。

Claims (20)

  1. 二重磁気トンネル接合デバイスを製造する方法であって、前記方法は、
    第1の磁気トンネル接合スタックを形成すること、
    前記第1の磁気トンネル接合スタック上にスピン伝導層を形成すること、および、
    前記スピン伝導層上に第2の磁気トンネル接合スタックを形成することを含み、前記第2の磁気トンネル接合スタックは、前記第1の磁気トンネル接合スタックの幅よりも大きい幅を有する、
    方法。
  2. 前記第1の磁気トンネル接合スタックは、バック・エンド・オブ・ライン層上に形成される、請求項1に記載の方法。
  3. 前記第1の磁気トンネル接合スタックは、第1の参照層、第1のトンネル・バリア層、および第1の磁気自由層を含み、
    前記第2の磁気トンネル接合スタックは、第2の参照層、第2のトンネル・バリア層、および第2の磁気自由層を含む、
    請求項1に記載の方法。
  4. 前記方法は、前記スピン伝導層を形成した後に、
    前記第1の磁気トンネル接合スタックの側方および前記スピン伝導層の側方に第1の誘電体層を形成すること、および、
    前記スピン伝導層上に第2のスピン伝導層を形成することをさらに含み、前記第2のスピン伝導層は、前記スピン伝導層の幅よりも大きく、かつ、第1の磁気トンネル接合スタックの幅よりも大きい幅を有する、
    請求項1に記載の方法。
  5. 前記第2のスピン伝導層は、前記スピン伝導層に直接接触して形成され、前記第2のスピン伝導層は、前記スピン伝導層と同じ材料組成を有する、請求項4に記載の方法。
  6. 前記方法は、前記スピン伝導層を形成した後に、
    前記第1の磁気トンネル接合スタックの前記側方および前記スピン伝導層の前記側方に第1の誘電体層を形成すること、
    前記スピン伝導層および前記第1の誘電体層上に第2のスピン伝導層を形成すること、
    前記第2のスピン伝導層上に前記第2の磁気トンネル接合スタックを形成すること、
    前記第2の磁気トンネル接合スタック上に金属エッチングストップ層を形成すること、
    前記金属エッチングストップ層上に金属ハードマスク層を形成すること、および、
    前記金属エッチングストップ層、前記第2の磁気トンネル接合スタック、前記第2のスピン伝導層、および前記第1の誘電体層の膜厚の一部をエッチングすることをさらに含み、
    前記第2のスピン伝導層は、前記第2の磁気トンネル接合スタックと同じ幅を有する、
    請求項4に記載の方法。
  7. 前記第2のスピン伝導層を形成する前に、プラズマO、H、N、NHからなる群から選択される少なくとも1つを用いて、露出した表面の前処理を行うことをさらに含む、請求項4に記載の方法。
  8. 前記スピン伝導層は、Cu、CuN、AgおよびAgSnからなる群から選択される少なくとも1つの非磁性材料を含む、請求項1に記載の方法。
  9. 前記第2の磁気トンネル接合スタック上に誘電体封止層を形成することをさらに含む、請求項1に記載の方法。
  10. 前記誘電体封止層は、PVD、ALD、PECVD、AlO、TiO、BN、SiN、およびSiBCNからなる群から選択される少なくとも1つを含む、請求項9に記載の方法。
  11. 第1の磁気トンネル接合スタック、
    前記第1の磁気トンネル接合スタック上のスピン伝導層、および、
    前記スピン伝導層上の第2の磁気トンネル接合スタックを含み、前記第2の磁気トンネル接合スタックは、前記第1の磁気トンネル接合スタックの幅よりも大きい幅を有する、
    二重磁気トンネル接合デバイス。
  12. 前記第1の磁気トンネル接合スタックは、バック・エンド・オブ・ライン層上にある、請求項11に記載の二重磁気トンネル接合デバイス。
  13. 前記バック・エンド・オブ・ライン層および前記第1の磁気トンネル接合スタックの間にシード層をさらに含む、請求項12に記載の二重磁気トンネル接合デバイス。
  14. 前記第1の磁気トンネル接合スタックは、第1の参照層、第1のトンネル・バリア層、および第1の磁気自由層を含み、
    前記第2の磁気トンネル接合スタックは、第2の参照層、第2のトンネル・バリア層、および第2の磁気自由層を含む、
    請求項11に記載の二重磁気トンネル接合デバイス。
  15. 前記第1の磁気トンネル接合スタックの側方および前記スピン伝導層の側方の第1の誘電体層、および
    前記スピン伝導層上の第2のスピン伝導層をさらに含み、前記第2のスピン伝導層は、前記スピン伝導層の幅よりも大きく、かつ、第1の磁気トンネル接合スタックの幅よりも大きい幅を有する、
    請求項11に記載の二重磁気トンネル接合デバイス。
  16. 前記第2のスピン伝導層は、前記スピン伝導層に直接接触し、前記第2のスピン伝導層は、前記スピン伝導層と同じ材料組成を有する、請求項15に記載の二重磁気トンネル接合デバイス。
  17. 前記第1の磁気トンネル接合スタックの前記側方および前記スピン伝導層の前記側方の第1の誘電体層、
    前記スピン伝導層上の第2のスピン伝導層、
    前記第2のスピン伝導層上に形成された前記第2の磁気トンネル接合スタック、
    前記第2の磁気トンネル接合スタック上の金属エッチングストップ層、および、
    前記金属エッチングストップ層上の金属ハードマスク層をさらに含み、
    前記第2のスピン伝導層は、前記第2の磁気トンネル接合スタックと同じ幅を有する、
    請求項15に記載の二重磁気トンネル接合デバイス。
  18. 前記スピン伝導層は、Cu、CuN、AgおよびAgSnからなる群から選択される少なくとも1つの非磁性材料を含む、請求項11に記載の二重磁気トンネル接合デバイス。
  19. 前記第2の磁気トンネル接合スタック上の誘電体封止層をさらに含む、請求項11に記載の二重磁気トンネル接合デバイス。
  20. 前記誘電体封止層は、PVD、ALD、PECVD、AlO、TiO、BN、SiN、およびSiBCNからなる群から選択される少なくとも1つを含む、請求項19に記載の二重磁気トンネル接合デバイス。

JP2022549644A 2020-03-13 2021-02-01 逆ワイド・ベース二重磁気トンネル接合デバイス Pending JP2023517839A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/817,744 2020-03-13
US16/817,744 US11316104B2 (en) 2020-03-13 2020-03-13 Inverted wide base double magnetic tunnel junction device
PCT/IB2021/050787 WO2021181172A1 (en) 2020-03-13 2021-02-01 Inverted wide base double magnetic tunnel junction device

Publications (1)

Publication Number Publication Date
JP2023517839A true JP2023517839A (ja) 2023-04-27

Family

ID=77664988

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022549644A Pending JP2023517839A (ja) 2020-03-13 2021-02-01 逆ワイド・ベース二重磁気トンネル接合デバイス

Country Status (7)

Country Link
US (1) US11316104B2 (ja)
JP (1) JP2023517839A (ja)
KR (1) KR102672584B1 (ja)
CN (1) CN115280528A (ja)
DE (1) DE112021000348T5 (ja)
GB (1) GB2609775A (ja)
WO (1) WO2021181172A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11844284B2 (en) * 2021-06-29 2023-12-12 International Business Machines Corporation On-chip integration of a high-efficiency and a high-retention inverted wide-base double magnetic tunnel junction device
US20240090339A1 (en) * 2022-09-13 2024-03-14 International Business Machines Corporation Mram with doped silicon-germanium-tin alloy electrodes

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6469926B1 (en) 2000-03-22 2002-10-22 Motorola, Inc. Magnetic element with an improved magnetoresistance ratio and fabricating method thereof
US6822838B2 (en) 2002-04-02 2004-11-23 International Business Machines Corporation Dual magnetic tunnel junction sensor with a longitudinal bias stack
US7576956B2 (en) 2004-07-26 2009-08-18 Grandis Inc. Magnetic tunnel junction having diffusion stop layer
US7430135B2 (en) 2005-12-23 2008-09-30 Grandis Inc. Current-switched spin-transfer magnetic devices with reduced spin-transfer switching current density
US8686484B2 (en) 2011-06-10 2014-04-01 Everspin Technologies, Inc. Spin-torque magnetoresistive memory element and method of fabricating same
US8685756B2 (en) 2011-09-30 2014-04-01 Everspin Technologies, Inc. Method for manufacturing and magnetic devices having double tunnel barriers
CN103107281B (zh) 2011-11-15 2015-04-08 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US9047964B2 (en) 2012-08-20 2015-06-02 Qualcomm Incorporated Multi-level memory cell using multiple magnetic tunnel junctions with varying MGO thickness
KR102099192B1 (ko) 2013-03-14 2020-04-09 인텔 코포레이션 스핀 홀 mtj 디바이스들을 갖는 교차점 어레이 mram
US9236565B2 (en) 2014-04-29 2016-01-12 National University Of Singapore Method for fabricating a magnetoresistive device
US20150364676A1 (en) * 2014-06-11 2015-12-17 T3Memory, Inc. Three-terminal spin transistor magnetic random access memory and the method to make the same
US20160064652A1 (en) 2014-09-03 2016-03-03 T3Memory, Inc. Three-terminal stt-mram and method to make the same
US9570509B2 (en) 2015-01-29 2017-02-14 Qualcomm Incorporated Magnetic tunnel junction (MTJ) device array
WO2018136003A1 (en) * 2017-01-17 2018-07-26 Agency For Science, Technology And Research Memory cell, memory array, method of forming and operating memory cell
US10008387B1 (en) * 2017-02-15 2018-06-26 Globalfoundries Singapore Pte. Ltd. Embedded memory in back-end-of-line low-k dielectric
CN113659071B (zh) * 2017-02-27 2024-04-09 Tdk株式会社 自旋流磁化旋转元件、磁阻效应元件及磁存储器
US10256398B2 (en) 2017-06-28 2019-04-09 Globalfoundries Singapore Pte. Ltd. Magnetic random access memory structures, integrated circuits, and methods for fabricating the same
EP3460811B1 (en) 2017-09-20 2020-06-17 IMEC vzw Magnetic layer structure for magnetic tunnel junction device
US10784440B2 (en) * 2017-11-10 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory with various size magnetic tunneling junction film stacks
US10803916B2 (en) * 2017-12-29 2020-10-13 Spin Memory, Inc. Methods and systems for writing to magnetic memory devices utilizing alternating current
US10468585B1 (en) 2018-05-31 2019-11-05 International Business Machines Corporation Dual function magnetic tunnel junction pillar encapsulation
CN110660435B (zh) 2018-06-28 2021-09-21 中电海康集团有限公司 Mram存储器单元、阵列及存储器
US10756259B2 (en) 2018-11-20 2020-08-25 Applied Materials, Inc. Spin orbit torque MRAM and manufacture thereof
CN110164902B (zh) 2019-05-07 2021-03-09 北京航空航天大学 一种多级单元磁存储结构及其读写方法
US11264561B2 (en) * 2019-08-20 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory device and formation method thereof

Also Published As

Publication number Publication date
GB202215020D0 (en) 2022-11-23
DE112021000348T5 (de) 2022-09-22
US20210288246A1 (en) 2021-09-16
GB2609775A (en) 2023-02-15
WO2021181172A1 (en) 2021-09-16
US11316104B2 (en) 2022-04-26
CN115280528A (zh) 2022-11-01
KR102672584B1 (ko) 2024-06-07
KR20220119644A (ko) 2022-08-30

Similar Documents

Publication Publication Date Title
US10707413B1 (en) Formation of embedded magnetic random-access memory devices
US6806096B1 (en) Integration scheme for avoiding plasma damage in MRAM technology
US11088202B2 (en) Method of forming memory cell
US11335850B2 (en) Magnetoresistive random-access memory device including magnetic tunnel junctions
WO2022134953A1 (en) Double magnetic tunnel junction device
CN114245937A (zh) 外延生长的沟道选择器与mram装置的集成
WO2023274627A1 (en) On-chip integration of a high-efficiency and a high-retention inverted wide-base double magnetic tunnel junction device
KR102672584B1 (ko) 반전된 넓은 베이스 이중 자기 터널 접합 디바이스
US6849465B2 (en) Method of patterning a magnetic memory cell bottom electrode before magnetic stack deposition
US20230165155A1 (en) Inverted wide base double magnetic tunnel junction device
US10475496B1 (en) Reduced shorts in magnetic tunnel junctions
US11569438B2 (en) Magnetoresistive random-access memory device
US20230189660A1 (en) Mram bottom electrode contact with taper profile
US11805704B2 (en) Via interconnects for a magnetoresistive random-access memory device
US20240099148A1 (en) Mram top electrode structure with liner layer
US20230180623A1 (en) Magnetic tunnel junction pillar formation for mram device
US20230189534A1 (en) Layered bottom electrode dielectric for embedded mram
WO2024055891A1 (en) Mram with doped silicon-germanium-tin alloy electrodes
US20220302207A1 (en) Same level mram stacks having different configurations
JP2024523986A (ja) 高効率および高保持反転ワイド・ベース二重磁気トンネル接合デバイスのオンチップ集積
US20230109291A1 (en) Conical magnetic random access memory pillar structures
CN112103387A (zh) 自旋转移矩磁阻随机存取存储器装置及其制造方法
CN111668366A (zh) 一种磁性随机存储器顶电极接触及其制备方法

Legal Events

Date Code Title Description
RD16 Notification of change of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7436

Effective date: 20220907

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230721