JP6906050B2 - メトロロジー測定に用いるためのプログラムされた欠陥を生成する方法およびシステム - Google Patents

メトロロジー測定に用いるためのプログラムされた欠陥を生成する方法およびシステム Download PDF

Info

Publication number
JP6906050B2
JP6906050B2 JP2019521060A JP2019521060A JP6906050B2 JP 6906050 B2 JP6906050 B2 JP 6906050B2 JP 2019521060 A JP2019521060 A JP 2019521060A JP 2019521060 A JP2019521060 A JP 2019521060A JP 6906050 B2 JP6906050 B2 JP 6906050B2
Authority
JP
Japan
Prior art keywords
array pattern
pattern
defect
metrology
array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019521060A
Other languages
English (en)
Other versions
JP2019537745A5 (ja
JP2019537745A (ja
Inventor
ホン シャオ
ホン シャオ
ナダフ ガットマン
ナダフ ガットマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019537745A publication Critical patent/JP2019537745A/ja
Publication of JP2019537745A5 publication Critical patent/JP2019537745A5/ja
Application granted granted Critical
Publication of JP6906050B2 publication Critical patent/JP6906050B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Manufacturing & Machinery (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Biochemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

本発明は、一般に、画像ベースのメトロロジーに関し、より詳細には、走査型電子顕微鏡法により実行されるメトロロジー測定を向上させるために、複数のパターンプロセスにより形成されたパターン中にプログラムされた欠陥を生成し応用することに関する。
関連出願の相互参照
本出願は、Hong Xiaoを発明者として名前を挙げ、DESIGNED DEFECTS FOR SEM−BASED CDU AND OVERLAY MEASUREMENTS IN ARRAY PATTERNS FORMED WITH MULTIPLE PATTERNIGN PROCESSES(複数のパターニングプロセスを用いて形成されるアレイパターン中のSEMベースのCDUおよびオーバーレイ測定用に設計された欠陥)と題名付けて、2016年10月20日に出願した米国仮出願第62/410,397号の米国特許法第119(e)による利益を主張すると共に、その正規の(仮でない)特許出願を構成するものであり、これは、参照により全体として本明細書に組み込まれる。
論理デバイスおよびメモリデバイスなどの半導体デバイスの製造は、典型的には、半導体デバイスの様々な特徴および複数のレベルを形成するために多数の半導体製造プロセスを用いて、半導体ウェハなどの基板を処理することを含む。半導体デバイスのサイズが小さくなればなるほど、向上したモニタリングを開発し、デバイスおよび手順を見直すことが重要になる。現在、オーバーレイ測定は、「現像後の検査」(ADI:after development inspection)ステップの後に、半導体デバイスのダイのスクライブラインに配置されたオーバーレイターゲットに対して光学的測定システムを用いて実行され得る。典型的には、この手法は、高速であり、結果が仕様外である場合、試料(例えば、半導体ウェハ)は、再加工され得る。デバイスの特徴サイズが縮小し続け、マルチパターニングプロセスが集積回路(IC)チップの製造により幅広く使用されることになるにつれて、オーバーレイ制御は、はるかに厳密なものになる。加えて、ADIステップにおけるスクライブラインに対する光学的なオーバーレイ測定は、「エッチング後の検査」(AEI:after etch inspection)ステップにおける実際のICデバイスのオーバーレイ制御にもはや十分でない。結果として、AEIステップで、デバイスパターンに、走査型電子顕微鏡(SEM)のオーバーレイ測定を使用することが必要になる。
米国特許出願公開第2015/0042978号
現在、SEMオーバーレイターゲットは、ラインスペースアレイパターンを用いて設計されており、これは、2つの層からのパターンを特定することができるように第1のマスクおよび第2のマスクの境界で測定されなければならない。そのような手法は、それがアレイ境界でのみ実行できるという点で限定される。この手法は、テストパターンまたはデバイスパターンのいずれかに形成することができ、典型的には、これは、負荷効果により、アレイの中央から異なってパターン形成され、これは、測定結果に負の影響を与える。したがって、従前のマルチパターン測定の手法の欠点を取り除くシステムおよび方法が望まれている。
本開示の1つ以上の実施形態による、メトロロジー測定を向上させるためにマルチパターン構造内にプログラムされた欠陥を生成し実装するシステムを説明する。一実施形態では、システムは、第1のアレイパターンおよび第2のアレイパターンを含むマルチパターン構造を試料上に形成するように構成されているリソグラフィツールであって、第1のアレイパターンまたは第2のアレイパターンのうちの少なくとも1つが、第1のアレイパターンを第2のアレイパターンと区別するためにプログラムされた欠陥を含む、リソグラフィツールを備える。別の実施形態では、システムは、プログラムされた欠陥を含む視野を有する第1のアレイパターンおよび第2のアレイパターンのうちの1つ以上の画像を取得するように構成されたメトロロジーツールを備える。別の実施形態では、システムは、1つ以上のプロセッサにメモリに収容された1セットのプログラム命令を実行させるように構成されている1つ以上のプロセッサを備えるコントローラを備える。別の実施形態では、1セットのプログラム命令は、1つ以上のプロセッサに、メトロロジーツールから第1のアレイパターンおよび第2のアレイパターンのうちの1つ以上の画像を受信させるように構成されている。別の実施形態では、1セットのプログラム命令は、1つ以上のプロセッサに、第1のアレイパターンおよび第2のアレイパターンのうちの少なくとも1つに関連した1つ以上のメトロロジーパラメータを決定させるように構成されている。
前述の概要と後述の詳細な説明の両方は、代表的および説明的なものにすぎず、必ずしも権利が主張される本発明の限定ではないことを理解されたい。添付図面は、本明細書に組み込まれると共に本明細書の一部を構成するものであり、概要と共に本発明の各実施形態を示し、本発明の原理を説明する役割を有する。
本開示の多数の利点は、以下の添付図面を参照することで当業者によってより良く理解され得る。
本開示の一実施形態による、層の一方のシフトによりマルチパターンアレイ構造の2つのラインパターンアレイの間にオーバーレイ誤差が存在する場合であり、2つのラインパターンアレイ間で区別不能を示すマルチパターンのラインアレイ構造の中央部を示す図である。 本開示の一実施形態による、層の一方のシフトによりコンタクトアレイ構造の2つのパターンアレイの間にオーバーレイ誤差が存在する場合であり、2つのパターンアレイ間で区別不能であることを示すコンタクトアレイ構造の中央部を示す図である。 本開示の1つ以上の実施形態による、試料のマルチパターンアレイ構造内の1つ以上のプログラムされた欠陥の形成および使用のための方法を示すプロセスの流れを示す図である。 本開示の1つ以上の実施形態による、試料のマルチパターンアレイ構造内のプログラムされた欠陥を生成し利用するシステムを示す図である。 本開示の1つ以上の実施形態による、プログラムされたまたは設計された欠陥を含むラインスペースアレイ構造を示す図である。 本開示の1つ以上の実施形態による、オーバーレイ誤差を表すプログラムされた欠陥を含むラインスペースアレイ構造を示す図である。 本開示の1つ以上の実施形態による、プログラムされた欠陥を含むコンタクトアレイ構造を示す図である。 本開示の1つ以上の実施形態による、オーバーレイ誤差を表すコンタクトアレイ構造を示す図である。 本開示の1つ以上の実施形態による、スペースの厚さの変動、およびプログラムされた欠陥と共に形成されたSADP構造の内容で、SADPのピッチウォークに関連した根本的な原因を特定することが難しいことを示す自己整合ダブルパターン(SADP)構造を示す図である。 本開示の1つ以上の実施形態による、マンドレルCD変動、およびプログラムされた欠陥と共に形成されたSADP構造の内容で、SADPのピッチウォークに関連した根本的な原因を特定することが難しいことを示すSADP構造を示す図である。 本開示の1つ以上の実施形態による、SAQPのピッチウォークに関連した根本的な原因を特定することが難しいことを示す自己整合クアッドパターン(SAQP:self−aligned quadruple pattern)構造を示す図である。 本開示の1つ以上の実施形態による、ブリッジ欠陥と共に形成されたSAQP構造を示す図である。 本開示の1つ以上の実施形態による、示している様々なタイプの可能なプログラムされた欠陥を構成するラインスペースアレイを示す図である。 本開示の1つ以上の実施形態による、1つ以上のプログラムされた欠陥を含むマルチパターンアレイ構造を試料上に生成するリソグラフィツールの概念図である。 本開示の1つ以上の実施形態による、1つ以上のプログラムされた欠陥を含むマルチパターンアレイ構造の1つ以上のメトロロジーパラメータを測定するメトロロジーツールの概念図である。
次に、添付図面に図示されている開示した主題について詳細に参照する。図1Aから図6を全体的に参照して、本開示によるマルチパターン構造でプログラムされた欠陥を生成し利用するシステムおよび方法を説明する。
本開示の各実施形態は、マルチパターン構造のうちの1つ以上のパターン中に、設計されたまたはプログラムされた欠陥を生成するシステムに向けられている。本開示の追加の各実施形態は、多層構造中の層間で区別がつくマーカとして多層構造の1つ以上の層内に形成されるプログラムされた欠陥を利用するSEMなどの画像ベースのメトロロジーツールに向けられている。
本開示の各実施形態は、複数のパターニングプロセスを用いて形成されたアレイ中に異なるマスクにより形成されたパターンを特定することに関連した難しさに対処する。この難しさは、最終的なパターニング後に、全てのパターンが同じように見えることにより生じる。オーバーレイの場合において、オーバーレイシフトが層間に存在した場合、メトロロジーツールは、どちらの層が不適切にシフトしているのか決定することができない。例えば、図1Aは、ラインスペースアレイ102の2つの層の間に、これらの層の一方がずれていることにより、オーバーレイ誤差が存在する場合を示す。この例では、2つの異なるラインアレイ104、106が、交互配置のやり方で形成され、それによって第1のパターン構造104のラインは、第2のパターン構造106のラインの間に位置する。ラインスペースアレイのうちの一方にシフトが生じる場合、複数のパターン構造の中央部で測定するときに、どのパターン構造がシフトしたのか決定することは非常に難しい。
別の例によれば、図1Bは、リソグラフィ−エッチ−リソグラフィ−エッチ(LELE:lithography−etch−lithography−etch)のコンタクトアレイ103の2つの層間に、層の一方がシフトしている結果として、オーバーレイ誤差が存在する場合を示す。前述したように、どちらの層が不適切にシフトしているのか、メトロロジーツールが決定することは困難である。
本開示の各実施形態により、アレイパターン中の1つ以上のマスク層内に、設計された、SEMベースで検出可能な欠陥(プログラムされた欠陥)を加えることによって、リソ−エッチ−リソ−エッチ(LELE:litho−etch−litho−etch)マルチパターニング層間のオーバーレイ誤差のSEMベースの測定を可能にする。プログラムされた欠陥は、スクライブライン領域、ダミーフィル領域、または実際のデバイスアレイ領域に配置され得るが、これらに配置される必要はない。設計データからの正確な位置情報を用いて、SEMメトロロジーツールは、設計された欠陥へ駆動され、1つ以上のSEM画像を取得し、マルチパターニングの異なるマスク層からパターンを特定し、異なるマスク間のオーバーレイ誤差を測定することができる。これらのSEM画像は、マルチパターニングプロセスの異なるマスク層を用いて形成されたアレイ中の構造の限界寸法(CD)および限界寸法均一性(CDU)を測定するために使用することもできることに留意されたい。本開示の各実施形態により、自己整合ダブルパターニング(SADP)または自己整合クアッドパターニング(SAQP)のピッチウォークの根本的な原因の決定も可能になる。現在の層と以前の層を区別することなしには有意な測定を行うことができないので、この能力は、オーバーレイ測定にとって重要である。
本開示の各実施形態は、プログラムされた欠陥を、マルチパターニングプロセス中に使用される1つ以上のマスク中のアレイマットのうちの1つに加えるものであり、これは、上述したように、1つ以上のマスクにより形成されたアレイ中のパターンを特定するのを助ける。プログラムされた欠陥は、テストパターンまたは実際のデバイスパターンのアレイに形成され得る。
図2は、本開示の1つ以上の実施形態による、1つ以上のプログラムされた欠陥の形成および使用を示すプロセスの流れ200を示す。ステップ202では、1つ以上のプログラムされた欠陥が、試料上のマルチパターン構造の1つ以上のパターン中に形成される。例えば、1つ以上のプログラムされた欠陥が、リソグラフィツールによって使用される1つ以上のマスクのアレイマットに加えられてもよい。次いで、リソグラフィツールを使用して1つ以上のプログラムされた欠陥を含むアレイパターンを試料上に形成することができる。ステップ204では、1つ以上の画像は、プログラムされた欠陥の位置で試料から取得される。例えば、限定するものではないが、SEMなどの1つ以上のイメージングベースのメトロロジーツールは、プログラムされた欠陥の位置でアレイパターンの1つ以上の画像を取得することができる。ステップ206では、プログラムされた欠陥の位置でアレイパターンから取得された1つ以上の画像は処理され、1つ以上のメトロロジーパラメータが測定される。例えば、1つ以上のプログラムされた欠陥の位置でアレイパターンから取得された画像データに基づいて、オーバーレイ誤差またはCD/CDUなどの1つ以上のメトロロジーパターンが測定または決定される。
図3は、本開示の1つ以上の実施形態による、試料の1つ以上のアレイ構造内のプログラムされた欠陥を生成し利用するシステム300を示す。一実施形態では、システム300は、リソグラフィツール302、イメージングベースのメトロロジーツール303、および/またはコントローラ306を含む。
一実施形態では、リソグラフィツール302は、1つ以上の試料304上に複数のアレイパターンを形成するように構成されている。例えば、リソグラフィツール302は、1つ以上のマスク305を実装するように構成することができる。1つ以上のマスク305は、試料304上に1つ以上の選択されたアレイパターンを形成するために使用することができる。一実施形態では、1つ以上のマスク305は、1つ以上のマスク305の1つ以上のアレイマット中に1つ以上のプログラムされたまたは設計された欠陥を備えるように設計されてもよい。リソグラフィツール302は、限定されるものではないが、電子ビームリソグラフィツール、またはUVリソグラフィツール(例えば、EUVリソグラフィツール)などのリソグラフィの当業界で知られている任意のリソグラフィツールを備えることができる。
別の実施形態では、システム300は、1つ以上の試料304から複数のアレイパターンの1つ以上の画像を取得するためのメトロロジーツール303を備える。メトロロジーツール303は、半導体試料に形成されたパターンのイメージングベースのオーバーレイ測定および/またはCD/CDU測定を実行するのに適した任意のイメージングベースのメトロロジーツールを備えることができる。例えば、メトロロジーツール303は、限定されるものではないが、SEMイメージングツールを含むことができる。
別の実施形態では、システム300は、コントローラ306を備える。このコントローラ306は、メモリデバイス110上に保持されたプログラム命令を実行するように構成されている1つ以上のプロセッサ308を備えることができる。この点について、コントローラ306の1つ以上のプロセッサ308は、本開示の全体を通じて説明される様々なプロセスステップのいずれかを実行することができる。例えば、コントローラ306は、リソグラフィツール302の1つ以上の特徴を制御することができる。別の例によれば、コントローラ306は、メトロロジーツール303からのメトロロジーデータを分析および/または解釈して、試料304に配設された複数のアレイパターン(例えば、多層アレイ構造)の1つ以上の部分から得られた画像データに基づいてオーバーレイおよび/またはCD/CDUを決定することができ、それによって、複数のアレイパターンの層の一方の1つ以上のパターン構造は、1つ以上のプログラムされた欠陥を含む。
図1Aに示すように、2つの異なるパターンアレイ構造が交互配置のやり方で形成される(すなわち、第1のパターン構造のラインが、第2のパターン構造のライン間に位置する)場合、どのパターン構造がシフトしているのか決定することは難しい。
図4A〜図4Hは、本開示の1つ以上の実施形態による、パターン形成されたアレイ中の1つ以上のプログラムされた欠陥の様々な実施を示す。特定のプログラムされた欠陥、および図4A〜図4Hに示された実施の内容は。例示のために与えられるものにすぎず、本開示の範囲の限定として解釈されるべきではないことに留意されたい。本開示のプログラムされた欠陥は、任意のマルチパターニングのリソグラフィの内容に拡張されてもよい。
図4Aは、本開示の1つ以上の実施形態による、設計された欠陥403を含むラインスペースアレイ構造402を示す。一実施形態では、リソグラフィツール302は、それが第1のラインアレイ404および第2のラインアレイ406を備えるようにラインスペースアレイを形成することができる。例えば、リソグラフィツール302内で利用される1つ以上のマスク305のアレイマットは、リソグラフィの処理中に対応する欠陥/特徴が試料304上に形成されるようにプログラムされた欠陥/特徴を備える。この例では、プログラムされた欠陥は、第2のラインアレイ406のラインのうちの1つ以上のライン内に配置される。図4Bは、本開示の1つ以上の実施形態による、アレイ構造402を形成するために使用されるマスク305中のオーバーレイ誤差を表すラインスペースアレイ構造402を示す。ラインアレイのうちの1つのラインアレイ中にプログラムされた欠陥403を含めることにより、イメージング技法によって第1のラインアレイ404と第2のラインアレイ406との間の区別の改善を可能にする。例えば、メトロロジーツール303は、図4A〜図4Bに示されるもののようなプログラムされた欠陥403を装備するラインスペースアレイ構造402の画像を取得することができる。次いで、ラインアレイ404とラインアレイ406を区別する能力により、コントローラ306は、パターン構造内にオーバーレイ誤差が存在するか、およびどちらのラインアレイがシフトしたかを決定することができる。
一実施形態では、メトロロジーツール303は、プログラムされた欠陥403の位置において大きい視野(FOV:field of view)を有する画像を得るように構成され得る。別の実施形態では、1つ以上の画像の特徴の検出アルゴリズムは、プログラムされた欠陥403を特定するためにコントローラ306によって実行される。プログラムされた欠陥403が特定されてしまうと、コントローラ306は、プログラムされた欠陥403をズームインするようにメトロロジーツール303を向け、高分解能の小さいFOV画像を取得し、それによって異なるマスクを用いて形成されたパターンの測定(例えば、CDUまたはオーバーレイ)を実行することができる。
図4Cは、本開示の1つ以上の実施形態による、設計された欠陥413を含むコンタクトアレイ構造412を示す。例えば、コンタクトアレイ構造412は、限定されるものではないが、LELEコンタクトアレイ構造を含むことができる。一実施形態では、リソグラフィツール302は、それが複数の要素からなる第1のアレイ414と、複数の要素からなる第2のアレイ416とを備えるようにコンタクトアレイ構造412を形成することができる。図4Cに示すように、一実施形態では、複数の要素からなる第1のアレイ414、および複数の要素からなる第2のアレイ416は、位置合わせ不良が存在しないときに等しく点在させられる。
図4Dは、本開示の1つ以上の実施形態による、アレイ構造412を形成するために使用されるマスク305中のオーバーレイ誤差を表すコンタクトアレイ構造412を示す。やはり、アレイ416のうちの1つの中にプログラムされた欠陥413を含めることにより、イメージング技法によって第1のアレイ414と第2のアレイ416との間の区別の改善を可能にする。例えば、メトロロジーツール303は、プログラムされた欠陥403を装備したコンタクトアレイ構造412の画像を取得することができる。したがって、アレイ414とアレイ416を区別する能力により、コントローラ306は、2つのアレイ414、416間にオーバーレイ誤差が存在するか、およびそうであるならば、どちらのアレイがシフトしたかを決定することができる。
図4Eは、本開示の1つ以上の実施形態による、スペースの厚さの変動の内容で、SADPのピッチウォークに関連した根本的な原因を特定することが難しいことを示す自己整合ダブルパターン(SADP)構造420を示す。加えて、図4Eは、ブリッジ欠陥423と共に形成されるSADP構造421を示す。ブリッジ欠陥423の使用によって、システム300は、観察したピッチウォークに関連した根本的な原因を決定することが可能になる。図4Eに示すように、ブリッジ欠陥423を使用することによって、システム300は、ピッチウォークの根本的な原因がスペースの厚さの変動に関連しているか決定することが可能になる。
図4Fは、本開示の1つ以上の実施形態による、マンドレルCD変動の内容で、SADPのピッチウォークに関連した根本的な原因を特定することが難しいことを示すSADP構造424を示す。加えて、図4Fは、ブリッジ欠陥423と共に形成されるSADP構造425を示す。図4Fに示すように、ブリッジ欠陥423の使用によって、システム300は、ピッチウォークの根本的な原因が、マンドレルCD変動に関連しているか決定することが可能になる。
図4Gは、本開示の1つ以上の実施形態による、SAQPのピッチウォークに関連した根本的な原因を特定することが難しいことを示す自己整合クアッドパターン(SAQP)構造430を示す。図4Hは、本開示の1つ以上の実施形態による、ブリッジ欠陥433と共に形成されるSAQP構造432を示す。
自己整合ダブルパターニングまたは自己整合クアッドパターニングの構造の内容でプログラムされた欠陥を使用することによって、コントローラ306およびユーザは、これらの構造におけるピッチの根本的な原因を決定することを可能になる。SADPは、マンドレルCDとスペーサの厚さという2つの変数を有し、一方、SAQPは、マンドレルCD、第1のスペーサの厚さ、および第2のスペーサの厚さという3つの変数を有することに留意されたい。
概して、リソグラフィのプロセスの様々なステージの全体を通じての本開示のプログラムされた欠陥の実施により、システム300が、CDUおよび/またはオーバーレイ誤差の根本的な原因を特定することが可能になる。例えば、プログラムされた欠陥(および本開示の全体を通じて説明されるようなそれに続く分析)を利用することによって、システム300は、何のプロセスのステップまたはツールがオーバーレイ誤差および/またはCDUの原因であるのか決定することができる。例えば、1つ以上のプログラムされた欠陥を使用することによって、システム300は、所定のメトロロジー的な問題(例えば、オーバーレイ誤差、CDUなど)が、リソグラフィのステップ(すなわち、スキャナ)、薄膜蒸着、化学機械による平坦化(CMP:chemical−mechanic planarization)のステップ、またはエッチングステップによって引き起こされたのか決定することができる。例えば、本開示の全体を通じて説明されるように、1つ以上のプログラムされた欠陥の使用によって、システム300は、特定の層上のエッチングツールまたはCMPツールが特定のメトロロジーの問題(例えば、オーバーレイ誤差またはCDU)の原因であるのか決定することを可能とし得る。
図4Iは、本開示の1つ以上の実施形態による、示している様々なタイプの可能なプログラムされた欠陥を構成するラインスペースアレイ構造440を示す。破線またはギャップのタイプの欠陥が、本開示の全体を通じて示されているが、プログラムされた欠陥タイプのうちのこの特定のタイプは、本開示の範囲の限定ではないことに留意されたい。様々なタイプのプログラムされたまたは設計された欠陥は、様々な本開示の各実施形態において実施することができることが本明細書中で認識されている。一実施形態では、本開示のプログラムされた欠陥は、複数のパターン構造のうちの1つ以上に凸部441を備えることができる。別の実施形態では、本開示のプログラムされた欠陥は、複数のパターン構造のうちの1つ以上に凹部442、または「マウスバイト」を含むことができる。別の実施形態では、本開示のプログラムされた欠陥は、複数のパターン構造のうちの1つ以上に、ネッキングまたはピンチング欠陥443を含むことができる。別の実施形態では、本開示のプログラムされた欠陥は、複数のパターン構造のうちの1つ以上に、破線またはギャップ欠陥444を含むことができる。別の実施形態では、本開示のプログラムされた欠陥は、複数のパターン構造のうちの1つ以上に、ピンホール欠陥445を含むことができる。別の実施形態では、本開示のプログラムされた欠陥は、複数のパターン構造のうちの1つ以上に、屈曲ライン欠陥446を含むことができる。
図4Iの様々な欠陥タイプ441〜446は、単一のマルチパターン構造の単一のライン上に示されているが、そのような構成は、本開示の範囲の限定ではなく、簡単にするために与えられるものにすぎないことに留意されたい。本開示の欠陥のいずれかは、半導体デバイス製造の当業界で知られている任意のマルチパターン構造に、単独で、または1つ以上の他の欠陥と組み合わせて使用することができることに留意されたい。
図5は、本開示の1つ以上の実施形態による、システム300のリソグラフィツール302の概念図である。リソグラフィツール302は、リソグラフィパターンの生成の当業界で知られている任意のリソグラフィツールを備えることができる。一実施形態では、リソグラフィツール302は、光学的なリソグラフィツールを備えることができる。例えば、図5に示すように、リソグラフィツール302は、透過型マスクと共に働くように構成された光学的なリソグラフィツールを備えることができる。別の例によれば、図示されていないが、リソグラフィツール302は、反射マスクと共に働くように構成されている光学的なリソグラフィツールを備えることができる。光学ベースのリソグラフィの場合、リソグラフィツール302は、限定されるものではないが、光軸506に沿って1つ以上の照射ビーム504を生成するように構成された照明源502を含むことができる。1つ以上の照射ビーム504は、限定するものではないが、極紫外線放射(EUV)、真空紫外線放射(VUV)、紫外線(UV)放射、可視放射、または赤外線(IR)放射を含む1つ以上の選択した光の波長を含むことができる。別の実施形態では、リソグラフィツール302は、電子ビームリソグラフィツールを含むことができる。例えば、図示されていないが、リソグラフィツール302は、反射マスクと共に働くように構成された電子ビームリソグラフィツールを備えることができる。この実施形態では、リソグラフィツール302は、限定するものではないが、1つ以上の電子銃などの電子ビーム源を備えることができる。
別の実施形態では、リソグラフィツール302は、マスクを支持するデバイス508を備える。マスクを支持するデバイス508は、パターンマスク305を固定するように構成される。別の実施形態では、リソグラフィツール302は、パターンマスク305の画像に対応する印刷されたパターン要素を生成するために、1つ以上の照射ビーム504によって照明されるパターンマスク305の画像を試料ステージ512上に配設された試料304上へ投影するように構成されている1セットの投影光学部品を備える。別の実施形態では、マスクを支持するデバイス508は、パターンマスク305を作動させるまたは位置決めするように構成され得る。例えば、マスクを支持するデバイス508は、パターンマスク305を、リソグラフィツール302の投影光学部品に対して選択された位置へ作動させることができる。
試料304は、パターンマスク305の画像を受けるのに適した任意の個数の感光材料および/または材料層を備えることができる。例えば、試料304は、レジスト層514を備えることができる。この点について、1セットの投影光学部品は、レジスト層514を露光するために、パターンマスク305の画像をレジスト層514へ投影することができる。続くエッチングステップは、試料304に印刷される特徴を与えるために、露光された材料(例えば、ポジティブのエッチング)または非露光材料(例えば、ネガティブのエッチング)を取り除くことができる。さらに、パターンマスク305が、当業界で知られている任意のイメージングの構成において利用することができる。例えば、パターンマスク305は、パターン要素が印刷されたパターン要素としてポジティブにイメージされているポジティブのマスク(例えば、明視野のマスク)としてもよい。別の例によれば、パターンマスク305は、パターンマスク305のパターン要素がネガティブの印刷されたパターン要素(例えば、ギャップ、スペースなど)を形成するネガティブのマスク(例えば、暗視野のマスク)とすることができる。
コントローラ306は、パターンマスク305上のパターン要素の試料304への転写を指示するために、マスクを支持するデバイス508および/または試料ステージ512に通信可能に結合することができる。
図6は、本開示の一実施形態による、試料304のマルチパターン構造上でSEMベースのメトロロジーを実行するようになされているメトロロジーツール303を示す。
一実施形態では、メトロロジーツール303は、1つ以上の電子ビーム603を生成する電子ビーム源602を備える。電子ビーム源602は、当業界で知られている任意の電子源を含み得る。例えば、電子ビーム源602は、限定されるものではないが、1つ以上の電子銃を含むことができる。例えば、電子ビーム源602は単一の一次電子ビーム603を生成するための単一の電子銃を備えることができる。別の例では、電子ビーム源602は、複数の一次電子ビームを生成する複数の電子銃を備えることができる。
別の実施形態では、メトロロジーツール303は、試料ステージ610を備える。この試料ステージ610は、試料304を固定する。この試料304は、限定するものではないが、半導体ウェハ(例えば、シリコンウェハ)などの電子ビーム顕微鏡法を用いた画像ベースのメトロロジーに適した任意の試料を含むことができる。別の実施形態では、試料ステージ610は、作動可能なステージである。例えば、試料ステージ610は、限定されるものではないが、1つ以上の直線方向(例えば、x方向、y方向、および/またはz方向)に沿って試料304を選択的に並進させるのに適した1つ以上の並進ステージを含むことができる。別の例によれば、試料ステージ610は、限定されるものではないが、回転方向に沿って試料304を選択的に回転させるのに適した1つ以上の回転ステージを含むことができる。別の例によれば、試料ステージ610は、限定されるものではないが、直線方向に沿って試料を並進させるおよび/または回転方向に沿って試料304を回転させるのに適した回転ステージおよび並進ステージを含むことができる。
別の実施形態では、メトロロジーツール303は、検出器組立体612を備える。例えば、検出器組立体612は、限定されるものではないが、二次電子検出器を含むことができる。別の例によれば、検出器組立体612は、限定されるものではないが、後方散乱の電子検出器を含むことができる。検出器組立体612は、当業界で知られている任意のタイプの電子検出器を含み得ることに留意されたい。一実施形態では、二次電子は、エバーハートソーンリー検出器(または他のタイプのシンチレータベースの検出器)を用いて収集およびイメージ化することができる。別の実施形態では、電子は、マイクロチャンネル板(MCP:micro−channel plate)を用いて収集およびイメージ化することができる。別の実施形態では、電子は、ダイオードまたはダイオードアレイなどのPINまたはpn接合の検出器を用いて収集およびイメージ化することができる。別の実施形態では、電子は、1つ以上のアバランシェフォトダイオード(APD)を用いて収集およびイメージ化することができる。
別の実施形態では、メトロロジーツール303は、セットの電子光要素を備える。1セットの電子光要素604は、図6に示すように、光軸によって定められ電子光コラムを形成することができる。簡単にするために、単一の電子光コラムが、図6に示されている。この構成は、本開示の限定として解釈されるべきではないことを本明細書において留意されたい。例えば、メトロロジーツール303は、複数の電子光コラムを含むことができる。
1セットの電子光要素604は、一次電子ビーム603の少なくとも一部を、試料304上に形成されたプログラムされた欠陥403を含むマルチパターン構造の選択した一部へ向けることができる。1セットの電子光要素は、SEMベースのメトロロジーの当業界で知られている任意の電子光要素を備えることができる。一実施形態では、1セットの電子光要素604は、1つ以上の電子光レンズを備える。例えば、1つ以上の電子光レンズは、限定されるものではないが、電子ビーム源602から電子を収集するために1つ以上の集束レンズ606を含むことができる。別の例によれば、電子光レンズは、限定されるものではないが、一次電子ビーム603を試料304の選択した領域へ集束させるために1つ以上の対物レンズ608を含むことができる。
コントローラ306の1つ以上のプロセッサ308は、当業界で知られている任意の1つ以上の処理要素を備えることができる。この意味において、1つ以上のプロセッサ308は、ソフトウェアのアルゴリズムおよび/または命令を実行するように構成された任意のマイクロプロセッサタイプのデバイスを含むことができる。一実施形態では、1つ以上のプロセッサ308は、本開示の全体を通じて説明されるように、システム300を動作させるように構成されたプログラムを実行するように構成されているデスクトップコンピュータ、メインフレームコンピュータシステム、ワークステーション、画像コンピュータ、並列プロセッサ、または他のコンピュータシステム(例えば、ネットワーク式のコンピュータ)からなり得る。本開示の全体を通じて説明されるステップは、単一のコンピュータシステムによって実行されてもよく、または代替として、複数のコンピュータシステムによって実行されてもよいことを認識されたい。一般に、用語「プロセッサ」は、非一時的なメモリ媒体310からのプログラム命令を実行する1つ以上の処理要素を有する任意のデバイスを包含するように幅広く定義され得る。さらに、システム300の異なるサブシステム(例えば、リソグラフィツール、メトロロジーツール、プロセスツール、ディスプレイ、またはユーザインタフェース)は、本開示の全体を通じて説明されるステップの少なくとも一部を実施するのに適したプロセッサまたは論理素子を含むことができる。したがって、上記の説明は、本開示の限定として解釈されるべきではなく、単なる例示である。
メモリ媒体310は、関連した1つ以上のプロセッサ308によって実行可能なプログラム命令を記憶するのに適した当業界で知られている任意の記憶媒体を含み得る。例えば、メモリ媒体310は、非一時的なメモリ媒体を備えることができる。例えば、メモリ媒体310は、限定されるものではないが、リードオンリメモリ、ランダムアクセスメモリ、磁気または光メモリデバイス(例えば、ディスク)、磁気テープ、ソリッドステートドライブなどを含むことができる。別の実施形態では、媒体310は、リソグラフィツール302またはメトロロジーツールからの1つ以上の結果、ならびに/あるいは本明細書中に記載された様々なステップの出力を記憶するように構成されている。媒体310は、1つ以上のプロセッサ308を有する共通コントローラのハウジング内に格納されてもよいことをさらに留意されたい。代替の実施形態では、媒体310は、プロセッサおよびコントローラ306の物理的位置に対して遠隔に設置されてもよい。
別の実施形態では、システム300は、ユーザインタフェースを備える。一実施形態では、このユーザインタフェースは、コントローラ306の1つ以上のプロセッサ308に通信可能に結合されている。別の実施形態では、ユーザインタフェースは、ユーザからの選択および/または指令を容認するためにコントローラ306によって利用され得る。いくつかの実施形態では、ディスプレイは、ユーザにデータを表示するために使用することができる。今度は、ユーザは、表示デバイスを介してユーザに表示されたデータに応答して選択および/または指令を入力することができる。
ユーザインタフェースデバイスは、当業界で知られている任意のユーザインタフェースを備えることができる。例えば、ユーザインタフェースは、限定されるものではないが、キーボード、キーパッド、タッチスクリーン、レバー、ノブ、スクロールホイール、トラックボール、スイッチ、ダイヤル、スライドバー、スクロールバー、スライド、ハンドル、タッチパッド、パドル、ステアリングホイール、ジョイスティック、ベゼルマウント入力デバイスなどを含むことができる。タッチスクリーンのインタフェースデバイスの場合、多数のタッチスクリーンのインタフェースデバイスが、本発明の実施に適し得ることを当業者は認識されたい。例えば、表示デバイスは、限定するものではないが、静電容量式タッチスクリーン、抵抗膜式タッチスクリーン、表面音響方式タッチスクリーン、赤外線方式タッチスクリーンなどのタッチスクリーンのインタフェースが組み込まれてもよい。一般的な意味では、表示デバイスの表示部分を組み込むことができる任意のタッチスクリーンのインタフェースが、本開示の実施に適している。
表示デバイスは、当業界で知られている任意の表示デバイスを備え得る。一実施形態では、この表示デバイスは、限定されるものではないが、液晶ディスプレイ(LCD)、有機発光ダイオード(OLED)ベースのディスプレイ、またはCRTディスプレイを含むことができる。様々な表示デバイスが本開示の実施に適し得ると共に、表示デバイスの特定の選択は、限定するものではないが、フォームファクタ、費用、などを含む様々な要因に依存し得ることを当業者は理解するはずである。一般的な意味では、ユーザインタフェースデバイス(例えば、タッチスクリーン、ベゼルマウントインタフェース、キーボード、マウス、トラックパッドなど)を組み込むことができる任意の表示デバイスが、本開示の実施に適している。
いくつかの実施形態では、本明細書中に記載されたシステム300コントローラ306は、「単独のツール」またはプロセスツールに物理的に結合されていないツールとして構成することができる。他の実施形態では、コントローラ306は、有線および/または無線の部分を含むことができる伝送媒体によるプロセスツール、検査ツール、またはメトロロジーツールに結合され得る。プロセスツールは、リソグラフィツール、エッチングツール、蒸着ツール、研摩ツール、めっきツール、洗浄ツール、またはイオン注入ツールのような当業界で知られている任意のプロセスツールを含み得る。本明細書中に記載されたシステムによって実行されるメトロロジーおよび/または検査の結果は、フィードバック制御技法、フィードフォワード制御技法、および/またはその場(in situ)制御技法を用いた半導体製造プロセスのプロセスまたはプロセスツールのパラメータを変更するために使用することができる。プロセスまたはプロセスツールのパラメータは、手動でまたは自動的に変更することができる。
本明細書中に記載された方法の全ては、方法の実施形態の1つ以上のステップの結果を記憶媒体に記憶することを含むことができる。この結果は、本明細書中に記載された結果のいずれを含むこともでき、当業界で知られている任意のやり方で記憶することができる。記憶媒体は、本明細書中に記載された任意の記憶媒体、または当業界で知られている任意の他の適切な記憶媒体を含み得る。結果が記憶された後、この結果は、記憶媒体内でアクセス可能であり、本明細書中に記載された方法またはシステムの各実施形態のいずれかによって使用され、ユーザに表示するためにフォーマットされ、別のソフトウェアモジュール、方法、またはシステム等によって使用することができる。さらに、この結果は、「永久に」、「半永久に」、一時的に、または一部に期間にわたって記憶することができる。例えば、記憶媒体は、ランダムアクセスメモリ(RAM)であってもよく、およびこの結果は、必ずしも記憶媒体内で無期限に存在しなくてもよい。
当業者は、システムの各態様のハードウェアによる実施とソフトウェアによる実施との間にほとんど差異が残っていない点に技術水準が進歩しており、ハードウェアかまたはソフトウェアの使用は、一般的には、費用対効果のトレードオフを表す設計上の選択である(しかし、必ずしも常にではなく、ある内容においては、ハードウェアとソフトウェアの間の選択が重要になる場合がある)ことを認識するであろう。本明細書中に記載されたプロセスおよび/またはシステムならびに/あるいは他の技術が実行され得る様々な手段が存在し(ハードウェア、ソフトウェア、および/またはファームウェア)、好ましい手段は、このプロセスおよび/またはシステムならびに/あるいは他の技術が展開され得る内容に関して変わることを、当業者は理解されよう。例えば、開発者が、速度および精度が最も重要であると決定する場合、開発者は、主にハードウェア手段および/またはファームウェア手段を選択し、代替として、柔軟性が最も重要であると決定すれば、開発者は、主にソフトウェア実施形態を選択し、あるいは、さらに再び代替として、開発者は、ハードウェア、ソフトウェア、および/またはファームウェアの何らかの組み合わせを選択してもよい。しがたって、本明細書中に記載されたプロセスおよび/またはデバイスならびに/あるいは他の技術が実行され得るいくつかの可能な手段が存在し、これらはどれも、利用される任意の手段が、手段が展開される内容、開発者の特定の関心事(例えば、速度、柔軟性、または予測可能性)に応じた選択であるという点で他のものよりも本来的に優れておらず、そのいずれもが変更されてもよい。当業者は、実施の光学的な態様は、典型的には、光学的志向のハードウェア、ソフトウェア、および/またはファームウェアを用いることであると認識するであろう。
当業者は、デバイスおよび/またはプロセスを本明細書に記載したやり方で説明し、その後、そのような説明したデバイスおよび/またはプロセスをデータ処理システムに組み込むためのエンジニアリング方式を用いることは当業界で一般的であると認識されよう。すなわち、本明細書中に記載されたデバイスおよび/またはプロセスの少なくとも一部は、合理的な量の実験によってデータ処理システムに組み込まれてもよい。一般に、典型的なデータ処理システムは、システムのユニットハウジング、ビデオ表示デバイス、揮発性および不揮発性のメモリなどのメモリ、マイクロプロセッサおよびデジタル信号プロセッサなどのプロセッサ、オペレーティングシステムなどの計算の実体、ドライバ、グラフィカルユーザインタフェース、およびアプリケーションプログラム、タッチパッドまたはスクリーンなどの1つ以上のインタラクションデバイス、ならびに/あるいはフィードバックループおよび制御モータ(例えば、位置および/または速度を検出するためのフィードバック、構成部品および/または量を移動および/または調整するための制御モータ)を備える制御システムのうちの1つ以上を含むことを、当業者は、認識するであろう。典型的なデータ処理システムは、データ計算/通信システム、および/またはネットワーク計算/通信システムに典型的に見られるもののような任意の適切な市販の構成要素を利用して実施することができる。
本開示、およびその付随する利点の多くは、前述の説明によって理解されると信じており、開示された主題から逸脱することなく、またはその材料の利点の全てを犠牲にすることなく、構成要素の形態、構成、および配置に様々な変更がなされてもよいことは明らかであろう。説明した形態は、説明的なものにすぎず、そのような変更を包含し含むことは、以下の特許請求の範囲の意図である。

Claims (38)

  1. 照明源から1つ以上のパターンマスクへ照明を向け、前記1つ以上のパターンマスクから試料上に2つ以上のパターンを投影するように構成され、第1の層の第1の周期的アレイパターンおよび第2の層の第2のアレイパターンを含むマルチパターン構造を試料上に形成するように構成されているリソグラフィツールであって、前記第1の層の前記第1のアレイパターンまたは前記第2の層の前記第2のアレイパターンのうちの少なくとも1つプログラムされた欠陥を含ませ、前記第1のアレイパターンのパターンを前記第2のアレイパターンに対して変化させることで、前記第1のアレイパターンを前記第2のアレイパターンと区別するように構成された、リソグラフィツールと、
    電子ビーム源からの一次電子ビームの少なくとも一部を前記試料の一部へ向け、前記試料に配設された2つ以上のアレイパターンの1つ以上の部分から発する電子を検出するように構成され、前記プログラムされた欠陥を含む視野を有する前記第1のアレイパターンおよび前記第2のアレイパターンの1つ以上の画像を取得するように構成されたメトロロジーツールと、
    つ以上のプロセッサにメモリに収容された1セットのプログラム命令を実行させるように構成されている前記1つ以上のプロセッサを備えるコントローラと、
    を備えるシステムであって、前記1セットのプログラム命令は、前記1つ以上のプロセッサに、
    前記メトロロジーツールから、前記プログラムされた欠陥を含む視野を有する前記第1のアレイパターンおよび前記第2のアレイパターンの前記1つ以上の画像を受信させ、
    前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つに関連した1つ以上のメトロロジーパラメータを、前記プログラムされた欠陥を含む視野を有する前記第1のアレイパターンおよび前記第2のアレイパターンの前記1つ以上の画像にもとづき決定させる
    ように構成される、システム。
  2. 請求項1に記載のシステムであって、
    前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つに関連した1つ以上のメトロロジーパラメータを決定させることは、前記第1のアレイパターンと前記第2のアレイパターンとの間のオーバーレイ誤差を決定することを含む、システム。
  3. 請求項1に記載のシステムであって、
    前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つに関連した1つ以上のメトロロジーパラメータを決定させることは、前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つの限界寸法(CD)を決定することを含む、システム。
  4. 請求項1に記載のシステムであって、
    前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つに関連した1つ以上のメトロロジーパラメータを決定させることは、前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つの限界寸法均一性(CDU)を決定することを含む、システム。
  5. 請求項1に記載のシステムであって、
    前記プログラムされた欠陥は、凸欠陥、凹欠陥、ピンチング欠陥、ギャップ欠陥、ピンホール欠陥、屈曲欠陥、またはブリッジ欠陥のうちの少なくとも1つを含む、システム。
  6. 請求項1に記載のシステムであって、
    前記プログラムされた欠陥は、前記試料のスクライブライン領域、ダミーフィル領域、または実際のデバイス領域のうちの少なくとも1つに形成される、システム。
  7. 請求項1に記載のシステムであって、
    前記リソグラフィツールは、極紫外線(EUV)リソグラフィツール、または電子ビームリソグラフィツールのうちの少なくとも1つを含む、システム。
  8. 請求項1に記載のシステムであって、
    前記メトロロジーツールは、走査型電子顕微鏡法(SEM)メトロロジーツールを含む、システム。
  9. 請求項1に記載のシステムであって、
    前記試料は、半導体ウェハを含む、システム。
  10. 照明源と、
    1つ以上のパターンマスクを固定するように構成されたマスクステージと、
    試料を固定するように構成された試料ステージと、
    前記照明源から1つ以上のパターンマスクへ照明を向け、前記1つ以上のパターンマスクから前記試料上へ2つ以上のパターンを投影するように構成された1セットの光学部品と
    を備え、
    前記1つ以上のパターンマスクは、1つ以上のプログラムされた欠陥を含む少なくとも1つのアレイパターンを備え、
    前記1つ以上のパターンマスクは、第1層に第1のアレイパターンおよび第2層に第2のアレイパターンを前記試料上に形成するように構成され、
    前記第1のアレイパターンまたは前記第2のアレイパターンのうちの少なくとも1つ、プログラムされた欠陥を含ませ、前記第1のアレイパターンのパターンを前記第2のアレイパターンに対して変化させることで前記第1のアレイパターンを前記第2のアレイパターンと区別するように構成された、リソグラフィツール。
  11. 請求項10に記載のリソグラフィツールであって、
    コントローラをさらに備える、リソグラフィツール。
  12. 請求項11に記載のリソグラフィツールであって、
    前記コントローラは、前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つに関連した1つ以上のメトロロジーパラメータを決定するように構成される、リソグラフィツール。
  13. 請求項12に記載のリソグラフィツールであって、
    前記コントローラは、前記第1のアレイパターンと前記第2のアレイパターンとの間のオーバーレイ誤差を決定するようにさらに構成される、リソグラフィツール。
  14. 請求項12に記載のリソグラフィツールであって、
    前記コントローラは、前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つの限界寸法(CD)を決定するようにさらに構成される、リソグラフィツール。
  15. 請求項12に記載のリソグラフィツールであって、
    前記コントローラは、前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つの限界寸法均一性(CDU)を決定するようにさらに構成される、リソグラフィツール。
  16. 請求項10に記載のリソグラフィツールであって、
    前記プログラムされた欠陥は、凸欠陥、凹欠陥、ピンチング欠陥、ギャップ欠陥、ピンホール欠陥、屈曲欠陥、またはブリッジ欠陥のうちの少なくとも1つを含む、リソグラフィツール。
  17. 請求項10に記載のリソグラフィツールであって、
    前記リソグラフィツールは、前記試料のスクライブライン領域、ダミーフィル領域、または実際のデバイス領域のうちの少なくとも1つに、前記プログラムされた欠陥を形成する、リソグラフィツール。
  18. 請求項10に記載のリソグラフィツールであって、
    前記リソグラフィツールは、極紫外線(EUV)リソグラフィツール、または電子ビームリソグラフィツールのうちの少なくとも1つを含む、リソグラフィツール。
  19. 請求項10に記載のリソグラフィツールであって、
    前記試料は、半導体ウェハを含む、リソグラフィツール。
  20. 一次電子ビームを生成するように構成された電子ビーム源と、
    試料を固定するように構成された試料ステージと、
    前記一次電子ビームの少なくとも一部を前記試料の一部へ向けるように構成された1セットの電子光要素と、
    前記試料に配設された2つ以上のアレイパターンの1つ以上の部分から発する電子を検出するように構成された検出器組立体であって、前記2つ以上のアレイパターンの第1層の第1のアレイパターンまたは第2層の第2のアレイパターンの少なくとも1つが、プログラムされた欠陥を含み、前記第1のアレイパターンのパターンを前記第2のアレイパターンに対して変化させて前記第1のアレイパターンを前記第2のアレイパターンと区別する、検出器組立体と、
    1つ以上のプロセッサを備え、メモリに収容された1セットのプログラム命令を前記1つ以上のプロセッサに実行させるように構成されているコントローラと
    を備え、
    前記1セットのプログラム命令は、前記1つ以上のプロセッサに、
    前記2つ以上のアレイパターンに関連した画像データを前記検出器組立体から受信させ、
    受信した前記画像データに基づいて前記2つ以上のアレイパターンに関連した1つ以上のメトロロジーパラメータを決定させる
    ように構成される、メトロロジーツール。
  21. 請求項20に記載のメトロロジーツールであって、
    前記コントローラは、前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つに関連した1つ以上のメトロロジーパラメータを決定するように構成される、メトロロジーツール。
  22. 請求項20に記載のメトロロジーツールであって、
    前記コントローラは、前記第1のアレイパターンと前記第2のアレイパターンとの間のオーバーレイ誤差を決定するようにさらに構成される、メトロロジーツール。
  23. 請求項20に記載のメトロロジーツールであって、
    前記コントローラは、前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つの限界寸法(CD)を決定するようにさらに構成される、メトロロジーツール。
  24. 請求項20に記載のメトロロジーツールであって、
    前記コントローラは、前記第1のアレイパターンおよび前記第2のアレイパターンのうちの少なくとも1つの限界寸法均一性(CDU)を決定するようにさらに構成される、メトロロジーツール。
  25. 請求項20に記載のメトロロジーツールであって、
    前記プログラムされた欠陥は、凸欠陥、凹欠陥、ピンチング欠陥、ギャップ欠陥、ピンホール欠陥、屈曲欠陥、またはブリッジ欠陥のうちの少なくとも1つを含む、メトロロジーツール。
  26. 請求項20に記載のメトロロジーツールであって、
    前記プログラムされた欠陥は、前記試料のスクライブライン領域、ダミーフィル領域、または実際のデバイス領域のうちの少なくとも1つに形成される、メトロロジーツール。
  27. 請求項20に記載のメトロロジーツールであって、
    前記メトロロジーツールは、走査型電子顕微鏡法(SEM)メトロロジーツールを含む、メトロロジーツール。
  28. 請求項20に記載のメトロロジーツールであって、
    前記試料は、半導体ウェハを含む、メトロロジーツール。
  29. 試料上の第1の層に形成された第1のアレイパターンと、
    前記試料上の第2の層に形成された第2のアレイパターンと、
    を備えるメトロロジーターゲットであって、
    前記第1のアレイパターンまたは前記第2のアレイパターンの少なくとも1つ、プログラムされた欠陥を含ませ、前記第1のアレイパターンのパターンを前記第2のアレイパターンに対して変化させることで、前記メトロロジーターゲットの1つまたは複数の画像において前記第1のアレイパターンを前記第2のアレイパターンと区別するように構成された、メトロロジーターゲット。
  30. 請求項29に記載のメトロロジーターゲットにおいて、
    前記プログラムされた欠陥が、凸欠陥、凹欠陥、ピンチング欠陥、ギャップ欠陥、ピンホール欠陥、屈曲欠陥、またはブリッジ欠陥のうちの少なくとも1つを含む、メトロロジーターゲット。
  31. 請求項29に記載のメトロロジーターゲットにおいて、
    前記プログラムされた欠陥が、前記試料のスクライブライン領域、ダミーフィル領域、またはデバイス領域のうちの少なくとも1つに形成される、メトロロジーターゲット。
  32. 請求項29に記載のメトロロジーターゲットにおいて、
    前記第1のアレイパターンまたは前記第2のアレイパターンの少なくとも1つがラインアレイパターンを含む、メトロロジーターゲット。
  33. 請求項29に記載のメトロロジーターゲットにおいて、
    前記第1のアレイパターンまたは前記第2のアレイパターンの少なくとも1つがパターン要素の2次元アレイを含む、メトロロジーターゲット。
  34. 請求項29に記載のメトロロジーターゲットにおいて、
    前記第1のアレイパターンおよび前記第2のアレイパターンが、メトロロジーツールによる測定のために構成されている、メトロロジーターゲット。
  35. 請求項34に記載のメトロロジーターゲットにおいて、
    前記第1のアレイパターンおよび前記第2のアレイパターンが、イメージングベースのオーバーレイメトロロジーツールによる1つまたは複数のオーバーレイ測定のために構成されている、メトロロジーターゲット。
  36. 請求項34に記載のメトロロジーターゲットにおいて、
    前記第1のアレイパターンおよび前記第2のアレイパターンが、限界寸法メトロロジーツールによる1つまたは複数の限界寸法均一性測定のために構成されている、メトロロジーターゲット。
  37. 請求項34に記載のメトロロジーターゲットにおいて、
    前記メトロロジーツールが、走査型電子顕微鏡法(SEM)メトロロジーツールを含む、メトロロジーターゲット。
  38. 請求項29に記載のメトロロジーターゲットにおいて、
    前記試料が、半導体ウェハを含む、メトロロジーターゲット。
JP2019521060A 2016-10-20 2017-10-19 メトロロジー測定に用いるためのプログラムされた欠陥を生成する方法およびシステム Active JP6906050B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662410397P 2016-10-20 2016-10-20
US62/410,397 2016-10-20
US15/730,551 US10768533B2 (en) 2016-10-20 2017-10-11 Method and system for generating programmed defects for use in metrology measurements
US15/730,551 2017-10-11
PCT/US2017/057453 WO2018075804A1 (en) 2016-10-20 2017-10-19 Method and system for generating programmed defects for use in metrology measurements

Publications (3)

Publication Number Publication Date
JP2019537745A JP2019537745A (ja) 2019-12-26
JP2019537745A5 JP2019537745A5 (ja) 2020-11-26
JP6906050B2 true JP6906050B2 (ja) 2021-07-21

Family

ID=61969578

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019521060A Active JP6906050B2 (ja) 2016-10-20 2017-10-19 メトロロジー測定に用いるためのプログラムされた欠陥を生成する方法およびシステム

Country Status (6)

Country Link
US (1) US10768533B2 (ja)
JP (1) JP6906050B2 (ja)
KR (1) KR102276923B1 (ja)
CN (1) CN109964177B (ja)
TW (1) TWI747973B (ja)
WO (1) WO2018075804A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US10296702B2 (en) * 2017-03-15 2019-05-21 Applied Materials Israel Ltd. Method of performing metrology operations and system thereof
US10120973B2 (en) 2017-03-15 2018-11-06 Applied Materials Israel Ltd. Method of performing metrology operations and system thereof
WO2020141092A1 (en) * 2018-12-31 2020-07-09 Asml Netherlands B.V. In-die metrology methods and systems for process control
KR102641682B1 (ko) * 2019-02-20 2024-02-27 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
US11914290B2 (en) * 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11231376B2 (en) * 2019-08-29 2022-01-25 Taiwan Semiconductor Manufacturing Company Ltd. Method for semiconductor wafer inspection and system thereof
EP3923078A1 (en) * 2020-06-10 2021-12-15 ASML Netherlands B.V. Heigth measurement method and height measurement system
EP4152096A1 (en) * 2021-09-15 2023-03-22 ASML Netherlands B.V. System and method for inspection by failure mechanism classification and identification in a charged particle system

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003315284A (ja) 2002-04-24 2003-11-06 Mitsubishi Electric Corp パターン検査装置の感度調整方法
WO2006066137A2 (en) * 2004-12-19 2006-06-22 Ade Corporation System and method for inspecting a workpiece surface using polarization of scattered light
KR20060084922A (ko) * 2005-01-21 2006-07-26 삼성전자주식회사 오버레이 측정 장치의 보정 방법
US7916927B2 (en) 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2010020331A1 (en) 2008-08-19 2010-02-25 Asml Netherlands B.V. A method of measuring overlay error and a device manufacturing method
CN101650534B (zh) * 2009-07-24 2012-12-12 上海宏力半导体制造有限公司 测量曝光机台焦平面均匀度的方法
CN102483582B (zh) * 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
US9140998B2 (en) * 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US9709903B2 (en) * 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
US9214317B2 (en) * 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
US9347862B2 (en) * 2013-08-06 2016-05-24 Kla-Tencor Corp. Setting up a wafer inspection process using programmed defects
US10267746B2 (en) * 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation

Also Published As

Publication number Publication date
KR20190058677A (ko) 2019-05-29
TW201827812A (zh) 2018-08-01
CN109964177B (zh) 2021-11-02
JP2019537745A (ja) 2019-12-26
WO2018075804A1 (en) 2018-04-26
US10768533B2 (en) 2020-09-08
US20180113387A1 (en) 2018-04-26
TWI747973B (zh) 2021-12-01
CN109964177A (zh) 2019-07-02
KR102276923B1 (ko) 2021-07-13

Similar Documents

Publication Publication Date Title
JP6906050B2 (ja) メトロロジー測定に用いるためのプログラムされた欠陥を生成する方法およびシステム
JP6790172B2 (ja) 相互レシピ整合性に基づくレシピ選択
CN107924119B (zh) 检查设备、检查方法及制造方法
CN110553602B (zh) 度量方法、计算机产品和系统
JP2022008510A (ja) メトロロジー方法、ターゲット、及び基板
TWI452441B (zh) 測量方法、裝置及基板
JP6723269B2 (ja) 焦点感応オーバーレイターゲットを使用する焦点決定のためのシステムおよび方法
US20170206649A1 (en) Method of Measuring a Property of a Target Structure, Inspection Apparatus, Lithographic System and Device Manufacturing Method
US20230393490A1 (en) Metrology Apparatus and a Method of Determining a Characteristic of Interest
JP2023021158A (ja) モデルベースの限界寸法測定の方法およびシステム
US20170031246A1 (en) Inspection Apparatus, Inspection Method and Manufacturing Method
KR20180058819A (ko) 계측 방법, 타겟 및 기판
US10691030B2 (en) Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
JP2016539370A (ja) リソグラフィメトロロジのための方法、装置及び基板
JP2004508559A (ja) 回折シグニチャー分析による焦点の中心の決定
US8943443B1 (en) Verification of computer simulation of photolithographic process
JP6951495B2 (ja) メトロロジー方法、ターゲット、及び基板
US11150563B2 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
US9151712B1 (en) Rule checking for metrology and inspection
JP7339826B2 (ja) マーク位置決定方法、リソグラフィー方法、物品製造方法、プログラムおよびリソグラフィー装置
JP2008098383A (ja) 表面位置計測システム及び露光方法
JP6979529B2 (ja) リソグラフィプロセスにおける計測
CN108028210B (zh) 用于使用灵活取样的过程控制的方法及系统
KR20220103159A (ko) 측정 레시피를 결정하기 위한 방법 및 연관된 장치들
TW552464B (en) A method of measuring parameters relating to a lithography device

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201014

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201014

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20201014

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20201026

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210628

R150 Certificate of patent or registration of utility model

Ref document number: 6906050

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150