KR20190058677A - 계측 측정에 사용하기 위한 프로그래밍된 결함을 생성하는 방법 및 시스템 - Google Patents

계측 측정에 사용하기 위한 프로그래밍된 결함을 생성하는 방법 및 시스템 Download PDF

Info

Publication number
KR20190058677A
KR20190058677A KR1020197014227A KR20197014227A KR20190058677A KR 20190058677 A KR20190058677 A KR 20190058677A KR 1020197014227 A KR1020197014227 A KR 1020197014227A KR 20197014227 A KR20197014227 A KR 20197014227A KR 20190058677 A KR20190058677 A KR 20190058677A
Authority
KR
South Korea
Prior art keywords
array pattern
defect
array
tool
pattern
Prior art date
Application number
KR1020197014227A
Other languages
English (en)
Other versions
KR102276923B1 (ko
Inventor
홍 시아오
나다브 구트만
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20190058677A publication Critical patent/KR20190058677A/ko
Application granted granted Critical
Publication of KR102276923B1 publication Critical patent/KR102276923B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Manufacturing & Machinery (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

프로그래밍된 결함을 생성하고 구현하는 시스템은, 샘플 상에 제1 어레이 패턴과 제2 어레이 패턴을 포함하는 멀티-패턴 구조를 형성하도록 구성되는 리소그래피 도구를 포함한다. 제1 어레이 패턴 또는 제2 어레이 패턴은 제2 어레이 패턴으로부터 제1 어레이 패턴을 구별하기 위한 프로그래밍된 결함을 포함한다. 시스템은 프로그래밍된 결함을 포함하는 시야를 갖는, 제1 어레이 패턴 및 제2 어레이 패턴의 하나 이상의 이미지를 취득하도록 구성되는 계측 도구를 포함한다. 시스템은 하나 이상의 프로세서를 포함하는 제어기를 포함한다. 하나 이상의 프로세서는 계측으로부터 제1 어레이 패턴 및 제2 어레이 패턴의 이미지들을 수신하고, 제1 어레이 패턴 또는 제2 어레이 패턴에 연관된 계측 파라미터를 결정하도록 구성된다.

Description

계측 측정에 사용하기 위한 프로그래밍된 결함을 생성하는 방법 및 시스템
<관련 출원에 대한 상호 참조>
본 출원은 35 U.S.C. § 119(e) 하에서 우선권을 주장하며, 발명의 명칭이 "DESIGNED DEFECTS FOR SEM-BASED CDU AND OVERLAY MEASUREMENTS IN ARRAY PATTERNS FORMED WITH MULTIPLE PATTERNIGN PROCESSES"이고 발명자가 "Hong Xiao"인 2016년 10월 20일자로 출원된 미국 가출원 제62/410,397호의 미국 정식(비임시) 출원을 구성하며, 이 우선권 출원은 전체가 참조로 본 명세서에 포함된다.
<기술분야>
본 발명은 대체로 이미지 기반 계측에 관한 것이고, 특히, 주사 전자 현미경법(scanning electron microscopy)을 통해 수행된 계측 측정을 향상시키기 위한 다수의 패턴 공정들을 통해 형성되는 패턴들에서의 프로그래밍된 결함의 생성 및 적용에 관한 것이다.
로직 및 메모리 디바이스들과 같은 반도체 디바이스들의 제작은, 통상적으로 반도체 디바이스들의 다양한 특징부들 및 다수의 레벨들을 형성하기 위해 다수의 반도체 제작 공정들을 사용하여 반도체 웨이퍼와 같은 기판을 가공하는 것을 포함한다. 반도체 디바이스 사이즈가 점점 더 작아짐에 따라, 향상된 모니터링 및 검토 디바이스들 및 절차들을 개발하는 것이 중요해진다. 오버레이 측정은 "현상 후의 검사(after development inspection)"(ADI) 단계를 뒤따라 반도체 디바이스의 다이의 스크라이브 라인에 배치된 오버레이 타겟들에 대해 광학적 측정 시스템을 사용하여 현재 수행될 수도 있다. 이 접근법은 통상적으로 빠르고, 결과들이 사양을 벗어나는 경우에는, 샘플(예컨대, 반도체 웨이퍼)은 재작업될 수도 있다. 디바이스 특징부 사이즈가 스케일이 계속 작아지고 멀티-패터닝 공정들이 집적 회로들(integrated circuits)(IC) 칩 제조에서 더 널리 사용됨에 따라, 오버레이 제어는 훨씬 더 엄격해진다. 덧붙여서, ADI 단계에서 스크라이브 라인에 대한 광학적 오버레이 측정은 "에치 후의 검사(after etch inspection)"(AEI) 단계에서 실제 IC 디바이스의 오버레이 제어에 더 이상 충분하지 않다. 그 결과, 디바이스 패턴들의 AEI 단계에서의 주사 전자 현미경(scanning electron microscope, SEM) 오버레이 측정치의 사용이 필요하게 된다.
현재, SEM 오버레이 타겟들은 두 개의 층에서의 패턴들이 식별될 수 있도록 제1 마스크 및 제2 마스크의 경계에서 측정되어야만 하는 라인-스페이스 어레이 패턴으로 설계된다. 이러한 접근법은 어레이 보더(boarder)에서만 수행될 수 있다. 그 접근법은 테스트 패턴들 또는 디바이스 패턴들 중 어느 한 종류의 패턴들에 대해 수행될 수도 있는데, 이들 패턴은 하중 효과로 인해 통상적으로 어레이 중심과는 상이하게 패터닝되며, 이는 측정 결과에 부정적인 영향을 준다. 그러므로, 이전의 멀티-패턴 측정 접근법들의 단점들을 해결하는 시스템 및 방법이 요망된다.
계측 측정을 향상시키기 위해 멀티-패턴 구조 내에 프로그래밍된 결함을 생성하고 구현하는 시스템이 본 개시물의 하나 이상의 실시형태에 따라 설명된다. 하나의 실시형태에서, 시스템은 샘플 상에 제1 어레이 패턴과 제2 어레이 패턴을 포함하는 멀티-패턴 구조를 형성하도록 구성되는 리소그래피 도구를 포함하며, 제1 어레이 패턴 또는 제2 어레이 패턴 중 적어도 하나는 제2 어레이 패턴으로부터 제1 어레이 패턴을 구별하기 위한 프로그래밍된 결함을 포함한다. 다른 실시형태에서, 시스템은 프로그래밍된 결함을 포함하는 시야(field-of-view)를 갖는 제1 어레이 패턴 및 제2 어레이 패턴의 하나 이상의 이미지를 취득하도록 구성되는 계측 도구를 포함한다. 다른 실시형태에서, 시스템은 하나 이상의 프로세서를 포함하는 제어기를 포함하며, 하나 이상의 프로세서는 하나 이상의 프로세서로 하여금 메모리에 포함된 프로그램 명령어 세트를 실행하게 하도록 구성된다. 다른 실시형태에서, 프로그램 명령어 세트는 하나 이상의 프로세서로 하여금 계측 도구로부터 제1 어레이 패턴 및 제2 어레이 패턴의 하나 이상의 이미지를 수신하게 하도록 구성된다. 다른 실시형태에서, 프로그램 명령어 세트는 하나 이상의 프로세서로 하여금 제1 어레이 패턴 및 제2 어레이 패턴 중 적어도 하나에 연관된 하나 이상의 계측 파라미터를 결정하게 하도록 구성된다.
전술한 일반적인 설명 및 다음의 상세한 설명 둘 다는 단지 예시적이고 설명적이며 청구되는 바와 같은 본 발명을 필연적으로 제한하는 것은 아니라는 것이 이해된다. 본 명세서에 통합되고 본 출원서의 일부를 구성하는 첨부 도면들은, 본 발명의 실시형태들을 예시하고, 일반적인 설명과 함께, 본 발명의 원리들을 설명하는 것을 돕는다.
본 개시물의 수많은 장점들은 다음의 첨부 도면들을 참조하는 것에 의해 당업자들에 의해 더 잘 이해될 수도 있다:
도 1a는 본 개시물의 하나의 실시형태에 따른, 층들 중 하나의 층의 시프팅과 두 개의 라인-패턴 어레이들 간을 구별할 수 없음으로 인해 오버레이 에러가 멀티-패턴 어레이 구조의 두 개의 라인-패턴 어레이들 사이에 존재하는 경우를 묘사하는 멀티-패턴 라인-어레이 구조의 중심부를 예시한다.
도 1b는 본 개시물의 하나의 실시형태에 따른, 층들 중 하나의 층의 시프팅과 두 개의 패턴 어레이들 간을 구별할 수 없음으로 인해 오버레이 에러가 컨택 어레이 구조의 두 개의 패턴 어레이들 사이에 존재하는 경우를 묘사하는 컨택 어레이 구조의 중심부를 예시한다.
도 2는 본 개시물의 하나 이상의 실시형태에 따른, 샘플의 멀티-패턴 어레이 구조에서 하나 이상의 프로그래밍된 결함의 형성 및 사용을 위한 방법을 묘사하는 공정 흐름을 예시한다.
도 3은 본 개시물의 하나 이상의 실시형태에 따른, 샘플의 멀티-패턴 어레이 구조에서 프로그래밍된 결함을 생성하고 이용하는 시스템을 예시한다.
도 4a는 본 개시물의 하나 이상의 실시형태에 따른 프로그래밍된, 또는 설계된 결함을 포함하는 라인-스페이스 어레이 구조를 예시한다.
도 4b는 본 개시물의 하나 이상의 실시형태에 따른 오버레이 에러를 디스플레이하는 프로그래밍된 결함을 포함하는 라인-스페이스 어레이 구조를 예시한다.
도 4c는 본 개시물의 하나 이상의 실시형태에 따른 프로그래밍된 결함을 포함하는 컨택 어레이 구조를 예시한다.
도 4d는 본 개시물의 하나 이상의 실시형태에 따른, 오버레이 에러를 디스플레이하는 컨택 어레이 구조를 예시한다.
도 4e는 본 개시물의 하나 이상의 실시형태에 따른, 공간 두께 변동의 맥락에서 자기정렬 이중 패턴(self-aligned double pattern, SADP)의 피치 워크(pitch walk)와 연관된 근본 원인을 식별함에 있어서의 어려움을 묘사하는 SADP 구조와, 프로그래밍된 결함과 함께 형성된 SADP 구조를 예시한다.
도 4f는 본 개시물의 하나 이상의 실시형태에 따른, 맨드릴 CD 변동의 맥락에서 SADP의 피치 워크에 연관된 근본 원인을 식별함에 있어서의 어려움을 묘사하는 SADP 구조와, 프로그래밍된 결함과 함께 형성된 SADP 구조를 예시한다.
도 4g는 본 개시물의 하나 이상의 실시형태에 따른, 자기정렬 사중 패턴(self-aligned quadruple pattern, SAQP)의 피치 워크에 연관된 근본 원인을 식별함에 있어서의 어려움을 예시하는 SAQP 구조를 예시한다.
도 4h는 본 개시물의 하나 이상의 실시형태에 따른, 브릿지 결함과 함께 형성된 SAQP 구조를 묘사한다.
도 4i는 본 개시물의 하나 이상의 실시형태에 따른, 다양한 유형들의 가능한 프로그래밍된 결함을 묘사하는 라인-스페이스 어레이 구조를 예시한다.
도 5는 본 개시물의 하나 이상의 실시형태에 따른, 샘플 상에 하나 이상의 프로그래밍된 결함을 포함하는 멀티-패턴 어레이 구조를 생성하기 위한 리소그래피 도구의 개념도를 예시한다.
도 6은 본 개시물의 하나 이상의 실시형태에 따른, 하나 이상의 프로그래밍된 결함을 포함하는 멀티-패턴 어레이 구조의 하나 이상의 계측 파라미터를 측정하기 위한 계측 도구의 개념도를 예시한다.
첨부 도면들에서 예시되는 개시된 요지에 대해 이제 상세히 언급될 것이다. 도 1a 내지 도 6을 전체적으로 참조하여, 멀티-패턴 구조에서 프로그래밍된 결함을 생성 및 이용하는 시스템 및 방법이 본 개시물에 따라 설명된다.
본 개시물의 실시형태들은 멀티-패턴 구조의 하나 이상의 패턴에서, 설계된, 또는 프로그래밍된 결함을 생성하는 시스템을 위한 것이다. 본 개시물의 추가적인 실시형태들은 다층 구조의 하나 이상의 층에 형성된 프로그래밍된 결함을 다층 구조에서의 층들 간을 구별하는 마커로서 이용하는 SEM과 같은 이미징 기반 계측 도구를 위한 것이다.
본 개시물의 실시형태들은 다수의 패터닝 공정들로 형성되었던 어레이에서 상이한 마스크들로부터 형성된 패턴들을 식별하는 것에 연관된 어려움을 해결한다. 그 어려움은 최종 패터닝 후, 모든 패턴들이 동일하게 보이기 때문에 발생한다. 오버레이의 경우, 오버레이 시프트가 층들 사이에 존재했다면, 계측 도구가 어떤 층이 부정확하게 시프트되었는지를 결정할 수 없다. 예를 들어, 도 1a는 층들 중 하나의 층의 시프팅으로 인해 오버레이 에러가 라인-스페이스 어레이(102)의 두 개의 층들 사이에 존재하는 경우를 묘사한다. 이 예에서, 두 개의 상이한 라인 어레이들(104, 106)은 인터리빙 방식으로 형성되어, 제1 패턴 구조의 라인들(104)은 제2 패턴 구조의 라인들(106) 사이에 위치한다. 라인 공간 어레이들 중 하나의 라인 공간 어레이에서의 시프트가 발생할 경우, 다수의 패턴 구조의 중심부에서 측정될 때 어떤 패턴 구조가 시프트했는지를 결정하는 것이 극히 어렵다.
다른 예로서, 도 1b는 층들 중 하나의 층의 시프팅의 결과로서 오버레이 에러가 리소그래피-에치-리소그래피-에치(lithography-etch-lithography-etch, LELE) 컨택 어레이(103)의 두 개의 층들 사이에 존재하는 경우를 묘사한다. 이전에 언급된 바와 같이, 계측 도구가 어떤 층이 부정확하게 시프트했는지를 결정하는 것이 어렵다.
본 개시물의 실시형태들은 어레이 패턴들의 하나 이상의 마스크 층에 설계된, SEM 검출가능, 결함(프로그래밍된 결함)을 추가함으로써 리소-에치-리소-에치(LELE) 멀티-패터닝 층들 사이의 오버레이 에러의 SEM 기반 측정을 허용한다. 프로그래밍된 결함은 스크라이브 라인 영역들, 더미 충전 영역들, 또는 실제 디바이스 어레이 영역들에 배치될 수도 있지만 반드시 그럴 필요는 없다. 설계 데이터로부터의 정밀한 위치 정보에 의해, SEM 계측 도구가 설계된 결함으로 이동하여, 하나 이상의 SEM 이미지를 취득하며, 멀티-패터닝의 상이한 마스크 층들로부터 패턴들을 식별하고, 상이한 마스크들 사이의 오버레이 에러를 측정할 수도 있다. 이들 SEM 이미지는 멀티-패터닝 공정의 상이한 마스크 층들로 형성된 어레이에서 구조들의 임계 치수(critical dimension, CD) 및 임계 치수 균일성(critical dimension uniformity, CDU)을 측정하는데 또한 사용될 수 있다는 것에 주목해야 한다. 본 개시물의 실시형태들은 자기정렬 이중 패터닝(SADP) 또는 자기정렬 사중 패터닝(SAQP)의 피치 워크의 근본 원인의 결정을 또한 가능하게 한다. 이 능력은 오버레이 측정에 중요한데 의미있는 측정이 현재 층과 이전 층 간을 구별하지 않고서 수행될 수 없기 때문이다.
본 개시물의 실시형태들은 멀티-패터닝 공정에 사용되는 하나 이상의 마스크의 어레이 매트들 중 하나의 어레이 매트에 프로그래밍된 결함을 추가하며, 이는, 위에서 언급된 바와 같이, 하나 이상의 마스크를 통해 형성된 어레이에서 패턴들을 식별하는 것을 돕는다. 프로그래밍된 결함은 테스트 패턴 또는 실제 디바이스 패턴의 어레이에 형성될 수도 있다.
도 2는 본 개시물의 하나 이상의 실시형태에 따른, 하나 이상의 프로그래밍된 결함의 형성 및 사용을 묘사하는 공정 흐름(200)을 예시한다. 단계 202에서, 하나 이상의 프로그래밍된 결함은 샘플 상에 멀티-패턴 구조의 하나 이상의 패턴으로 형성된다. 예를 들어, 하나 이상의 프로그래밍된 결함은 리소그래피 도구에 의해 사용되는 하나 이상의 마스크의 어레이 매트에 추가될 수도 있다. 그때, 리소그래피 도구는 샘플 상에 하나 이상의 프로그래밍된 결함을 포함하는 어레이 패턴을 형성하는데 사용될 수도 있다. 단계 204에서, 하나 이상의 이미지는 프로그래밍된 결함의 위치에 있는 샘플로부터 취득된다. 예를 들어, 비제한적으로 SEM과 같은 하나 이상의 이미징 기반 계측 도구가 프로그래밍된 결함의 위치에 있는 어레이 패턴의 하나 이상의 이미지를 취득할 수도 있다. 단계 206에서, 프로그래밍된 결함의 위치에 있는 어레이 패턴으로부터 취득된 하나 이상의 이미지는 프로세싱되고, 하나 이상의 계측 파라미터가 측정된다. 예를 들어, 하나 이상의 프로그래밍된 결함의 위치에 있는 어레이 패턴으로부터 취득된 이미지 데이터에 기초하여, 하나 이상의 계측 패턴, 이를테면 오버레이 에러 또는 CD/CDU가 측정되거나 또는 결정된다.
도 3은 본 개시물의 하나 이상의 실시형태에 따른, 샘플의 하나 이상의 어레이 구조에서 프로그래밍된 결함을 생성하고 이용하는 시스템(300)을 예시한다. 하나의 실시형태에서, 시스템(300)은 리소그래피 도구(302), 이미징 기반 계측 도구(303), 및/또는 제어기(306)를 포함한다.
하나의 실시형태에서, 리소그래피 도구(302)는 하나 이상의 샘플(304) 상에 다수의 어레이 패턴들을 형성하도록 구성된다. 예를 들어, 리소그래피 도구(302)는 하나 이상의 마스크(305)를 구현하도록 구성될 수도 있다. 하나 이상의 마스크(305)는 샘플(304) 상에 하나 이상의 선택된 어레이 패턴을 형성하는데 사용될 수도 있다. 하나의 실시형태에서, 하나 이상의 마스크(305)는 하나 이상의 마스크(305)의 하나 이상의 어레이 매트에 하나 이상의 프로그래밍된, 또는 설계된 결함을 포함하도록 설계될 수도 있다. 리소그래피 도구(302)는 비제한적으로 전자빔 리소그래피 도구 또는 UV 리소그래피 도구(예컨대, EUV 리소그래피 도구)와 같은 리소그래피의 분야에서 공지된 임의의 리소그래피 도구를 포함할 수도 있다.
다른 실시형태에서, 시스템(300)은 하나 이상의 샘플(304)로부터 다수의 어레이 패턴들의 하나 이상의 이미지를 취득하기 위한 계측 도구(303)를 포함한다. 계측 도구(303)는 반도체 샘플 상에 형성된 패턴들에 대한 이미징 기반 오버레이 측정 및/또는 CD/CDU 측정을 수행하기에 적합한 임의의 이미징 기반 계측 도구를 포함할 수도 있다. 예를 들어, 계측 도구(303)는 SEM 이미징 도구를 비제한적으로 포함할 수도 있다.
다른 실시형태에서, 시스템(300)은 제어기(306)를 포함한다. 제어기(306)는 메모리 디바이스(110) 상에 유지되는 프로그램 명령어를 실행하도록 구성되는 하나 이상의 프로세서(308)를 포함할 수도 있다. 이와 관련하여, 제어기(306)의 하나 이상의 프로세서(308)는 본 개시물의 전체에 걸쳐 설명되는 다양한 공정 단계들 중 임의의 공정 단계를 실행할 수도 있다. 예를 들어, 제어기(306)는 리소그래피 도구(302)의 하나 이상의 특징부를 제어할 수도 있다. 다른 예로서, 제어기(306)는 계측 도구(303)로부터의 계측 데이터를 분석 및/또는 해석하여, 샘플(304) 상에 배치된 다수의 어레이 패턴들(예컨대, 다층 어레이 구조들)의 하나 이상의 부분으로부터 획득된 이미지 데이터에 기초하여 오버레이 및/또는 CD/CDU를 결정할 수도 있는데, 여기서 다수의 어레이 패턴들의 층들 중 하나의 층의 하나 이상의 패턴 구조는 하나 이상의 프로그래밍된 결함을 포함한다.
도 1a에 묘사된 바와 같이, 두 개의 상이한 패턴 어레이 구조들이 인터리빙 방식으로 형성되는(즉, 제1 패턴 구조의 라인들이 제2 패턴 구조의 라인들 사이에 위치하는) 경우 어떤 패턴 구조가 시프트하였는지를 결정하기는 어렵다.
도 4a 내지 4h는 본 개시물의 하나 이상의 실시형태에 따른 패터닝된 어레이에서의 하나 이상의 프로그래밍된 결함의 다양한 구현예들을 예시한다. 도 4a 내지 4h에 묘사된 특정 프로그래밍된 결함 및 구현 맥락들이 예시 목적으로만 제공되고 본 개시물의 범위에 대한 제한으로서 해석되지 않아야 한다는 것에 주목해야 한다. 본 개시물의 프로그래밍된 결함은 임의의 멀티-패터닝 리소그래피 맥락으로 확장될 수도 있다.
도 4a는 본 개시물의 하나 이상의 실시형태에 따른, 설계된 결함(403)을 포함하는 라인-스페이스 어레이 구조(402)를 묘사한다. 하나의 실시형태에서, 리소그래피 도구(302)는 제1 라인 어레이(404)와 제2 라인 어레이(406)를 포함하도록 라인-스페이스 어레이를 형성할 수도 있다. 예를 들어, 리소그래피 도구(302) 내에서 이용되는 하나 이상의 마스크(305)의 어레이 매트가 대응 결함/특징부가 리소그래피 공정 동안 샘플(304) 상에 형성되도록 하는 프로그래밍된 결함/특징부를 포함한다. 이 예에서, 프로그래밍된 결함은 제2 라인 어레이(406)의 라인들 중 하나 이상의 라인 내에 배치된다. 도 4b는 본 개시물의 하나 이상의 실시형태에 따른, 어레이 구조(402)를 형성하는데 사용되는 마스크들(305)에서 오버레이 에러를 디스플레이하는 라인-스페이스 어레이 구조(402)를 묘사한다. 라인 어레이들 중 하나의 라인 어레이 내의 프로그래밍된 결함(403)의 포함은 이미징 기법들에 의한 제1 라인 어레이(404)와 제2 라인 어레이(406) 간의 개선된 구분을 허용한다. 예를 들어, 계측 도구(303)는 도 4a 및 도 4b에 도시된 것과 같이, 프로그래밍된 결함(403)이 포함된 라인-스페이스 어레이 구조(402)의 이미지를 취득할 수도 있다. 그때, 라인 어레이(404)와 라인 어레이(406) 사이를 구별하는 능력으로 인해, 제어기(306)는 오버레이 에러가 패턴 구조에 존재하는지의 여부와 어떤 라인 어레이가 시프트했는지를 결정할 수도 있다.
하나의 실시형태에서, 계측 도구(303)는 프로그래밍된 결함(403)의 위치에서 큰 시야(FOV)를 갖는 이미지를 획득하도록 구성될 수도 있다. 다른 실시형태에서, 하나 이상의 이미지 특징 검출 알고리즘이 프로그래밍된 결함(403)을 식별하기 위해 제어기(306)에 의해 실행된다. 일단 프로그래밍된 결함(403)이 식별되었다면, 제어기(306)는 계측 도구(303)에 지시하여 상이한 마스크들로 형성된 패턴들의 측정(예컨대, CDU 또는 오버레이)을 수행하기 위해 프로그래밍된 결함(403)에 대해 줌인하고 고 해상도, 작은 FOV 이미지를 취득할 수도 있다.
도 4c는 본 개시물의 하나 이상의 실시형태에 따른, 설계된 결함(413)을 포함하는 컨택 어레이 구조(412)를 묘사한다. 예를 들어, 컨택 어레이 구조(412)는 LELE 컨택 어레이 구조를 비제한적으로 포함할 수도 있다. 하나의 실시형태에서, 리소그래피 도구(302)는 엘리먼트들의 제1 어레이(414)와 엘리먼트들의 제2 어레이(416)를 포함하도록 컨택 어레이 구조(412)를 형성할 수도 있다. 도 4c에 도시된 바와 같이, 하나의 실시형태에서, 엘리먼트들의 제1 어레이(414)와 엘리먼트들의 제2 엘리먼트 어레이(416)는 오정렬이 존재하지 않을 때 균등하게 산재된다.
도 4d는 본 개시물의 하나 이상의 실시형태에 따른, 어레이 구조(412)를 형성하는데 사용되는 마스크들(305)에서의 오버레이 에러를 디스플레이하는 컨택 어레이 구조(412)를 묘사한다. 또, 어레이들(416) 중 하나의 어레이 내의 프로그래밍된 결함(413)의 포함은 이미징 기법들에 의한 제1 어레이(414)와 제2 어레이(416) 사이의 개선된 구분을 허용한다. 예를 들어, 계측 도구(303)는 프로그래밍된 결함(403)이 포함된 컨택 어레이 구조(412)의 이미지를 취득할 수도 있다. 그때, 어레이(414)와 어레이(416) 사이를 구별하는 능력으로 인해, 제어기(306)는 오버레이 에러가 두 개의 어레이들(414, 416) 사이에 존재하는지의 여부와, 그렇다면, 어떤 어레이가 시프트했는지를 결정할 수도 있다.
도 4e는 본 개시물의 하나 이상의 실시형태에 따른, 공간 두께 변동의 맥락에서 SADP의 피치 워크에 연관된 근본 원인을 식별함에 있어서의 어려움을 예시하는 자기정렬 이중 패턴(SADP) 구조(420)를 묘사한다. 덧붙여서, 도 4e는 브릿지 결함(423)과 함께 형성된 SADP 구조(421)를 묘사한다. 브릿지 결함(423)의 사용은 시스템(300)이 관찰된 피치 워크에 연관된 근본 원인을 결정하는 것을 허용한다. 도 4e에 도시된 바와 같이, 브릿지 결함(423)의 사용은 시스템(300)이 피치 워크의 근본 원인이 공간 두께 변동과 연관되는지의 여부를 결정하는 것을 허용한다.
도 4f는 본 개시물의 하나 이상의 실시형태에 따른, 맨드릴 CD 변동의 맥락에서 SADP의 피치 워크에 연관된 근본 원인을 식별함에 있어서의 어려움을 예시하는 SADP 구조(424)를 묘사한다. 덧붙여서, 도 4f는 브릿지 결함(423)과 함께 형성된 SADP 구조(425)를 묘사한다. 도 4f에 도시된 바와 같이, 브릿지 결함(423)의 사용은 시스템(300)이 피치 워크의 근본 원인이 맨드릴 CD 변동과 연관되는지의 여부를 결정하는 것을 허용한다.
도 4g는 본 개시물의 하나 이상의 실시형태에 따른, SAQP의 피치 워크에 연관된 근본 원인을 식별함에 있어서의 어려움을 예시하는 자기정렬 사중 패턴(SAQP) 구조(430)를 묘사한다. 도 4h는 본 개시물의 하나 이상의 실시형태에 따른, 브릿지 결함(433)과 함께 형성된 SAQP 구조(432)를 묘사한다.
자기정렬 이중 패터닝 또는 자기정렬 사중 패터닝 구조들에서의 프로그래밍된 결함의 사용은 제어기(306) 및 사용자가 이들 구조에서의 피치의 근본 원인을 결정하는 것을 허용한다. SADP는 두 개의 변수들, 즉, 맨드릴 CD 및 스페이서 두께를 가지는 반면, SAQP는 세 개의 변수들, 즉, 맨드릴 CD, 제1 스페이서 두께 및 제2 스페이서 두께를 가진다는 것에 주목해야 한다.
일반적으로, 리소그래피 공정의 다양한 스테이지들의 전체에 걸친 본 개시물의 프로그래밍된 결함의 구현은 시스템(300)이 CDU 및/또는 오버레이 에러들의 근본 원인을 식별하는 것을 허용한다. 예를 들어, 프로그래밍된 결함(과 본 개시물의 전체에 걸쳐 설명되는 바와 같은 후속 분석)의 이용으로, 시스템(300)은 어떤 공정 단계 또는 도구가 오버레이 에러 및/또는 CDU의 원인인지를 결정할 수도 있다. 예를 들면, 하나 이상의 프로그래밍된 결함의 사용은 시스템(300)이 주어진 계측 문제(예컨대, 오버레이 에러, CDU 등)가 리소그래피 단계(즉, 스캐너), 박막 퇴적, 화학-기계적 평탄화(chemical-mechanic planarization, CMP) 단계, 또는 에치 단계 중 어느 것에 의한 것인지를 결정하는 것을 허용한다. 예를 들어, 하나 이상의 프로그래밍된 결함의 사용은, 본 개시물의 전체에 걸쳐 설명되는 바와 같이, 시스템(300)이 특정 층 상의 에치 도구 또는 CMP 도구 중의 어느 것이 특정 계측 문제(예컨대, 오버레이 에러 또는 CDU)의 원인인지를 결정하는 것을 허용할 수도 있다.
도 4i는 본 개시물의 하나 이상의 실시형태에 따른, 다양한 유형들의 가능한 프로그래밍된 결함을 묘사하는 라인-스페이스 어레이 구조(440)를 예시한다. 파선 또는 갭 유형 결함이 본 개시물의 전체에 걸쳐 묘사되었지만, 이 특정 유형의 프로그래밍된 결함 유형이 본 개시물의 범위에 대한 제한은 아니라는 것에 주목해야 한다. 본 명세서에서 다양한 유형들의 프로그래밍된, 또는 설계된 결함이 본 개시물의 다양한 실시형태들에서 구현될 수도 있다는 것이 인식된다. 하나의 실시형태에서, 본 개시물의 프로그래밍된 결함은 패턴 구조들 중 하나 이상의 패턴 구조에 돌출부(protrusion)(441)를 포함할 수도 있다. 다른 실시형태에서, 본 개시물의 프로그래밍된 결함은 패턴 구조들 중 하나 이상의 패턴 구조에 관입(intrusion)(442), 또는 "마우스 바이트(mouse bite)"를 포함할 수도 있다. 다른 실시형태에서, 본 개시물의 프로그래밍된 결함은 패턴 구조들 중 하나 이상의 패턴 구조에 네킹(necking) 또는 핀칭(pinching) 결함(443)을 포함할 수도 있다. 다른 실시형태에서, 본 개시물의 프로그래밍된 결함은 패턴 구조들 중 하나 이상의 패턴 구조에 파선 또는 갭 결함(444)을 포함할 수도 있다. 다른 실시형태에서, 본 개시물의 프로그래밍된 결함은 패턴 구조들 중 하나 이상의 패턴 구조에 핀홀 결함(445)을 포함할 수도 있다. 다른 실시형태에서, 본 개시물의 프로그래밍된 결함은 패턴 구조들 중 하나 이상의 패턴 구조에 라인 굽힘(bending-line) 결함(446)을 포함할 수도 있다.
도 4i의 다양한 결함 유형들(441~446)이 단일 멀티-패턴 구조의 단일 라인 상에 예시되지만, 여기에서는 이러한 배열이 본 개시물의 범위에 대한 제한이 아니고 단지 단순화를 위해 제공된다는 것에 주목해야 한다. 여기에서는, 본 개시물의 결함 중 임의의 결함이 반도체 디바이스 제조의 분야에서 공지된 임의의 멀티-패턴 구조에서 단독으로 또는 하나 이상의 다른 결함과 연계하여 사용될 수도 있다는 것에 주목해야 한다.
도 5는 본 개시물의 하나 이상의 실시형태에 따른 시스템(300)의 리소그래피 도구(302)의 개념도이다. 리소그래피 도구(302)는 리소그래피 패턴 생성의 분야에서 공지된 임의의 리소그래피 도구를 포함할 수도 있다. 하나의 실시형태에서, 리소그래피 도구(302)는 광학적 리소그래피 도구를 포함할 수도 있다. 예를 들어, 도 5에 도시된 바와 같이, 리소그래피 도구(302)는 투과성 마스크와 연계하여 동작하는 광학적 리소그래피 도구를 포함할 수도 있다. 다른 예로서, 도시되지 않았지만, 리소그래피 도구(302)는 반사성 마스크와 연계하여 동작하도록 구성되는 광학적 리소그래피 도구를 포함할 수도 있다. 광학 기반 리소그래피의 경우, 리소그래피 도구(302)는 광축(506)을 따라 하나 이상의 조명 빔(504)을 생성하도록 구성되는 조명 소스(502)를 비제한적으로 포함할 수도 있다. 하나 이상의 조명 빔(504)은 극자외 방사선(extreme ultraviolet radiation, EUV), 진공 자외 방사선(vacuum ultraviolet radiation, VUV), 자외(ultraviolet, UV) 방사선, 가시 방사선, 또는 적외(infrared, IR) 방사선을 비제한적으로 포함하는 광의 하나 이상의 선택된 파장을 포함할 수도 있다. 다른 실시형태에서, 리소그래피 도구(302)는 전자빔 리소그래피 도구를 포함할 수도 있다. 예를 들어, 비록 묘사되지 않았지만, 리소그래피 도구(302)는 반사성 마스크와 연계하여 동작하도록 구성되는 전자빔 리소그래피 도구를 포함할 수도 있다. 이 실시형태에서, 리소그래피 도구(302)는 비제한적으로, 하나 이상의 전자총과 같은 전자빔 소스를 포함할 수도 있다.
다른 실시형태에서, 리소그래피 도구(302)는 마스크 지지 디바이스(508)를 포함한다. 마스크 지지 디바이스(508)는 패턴 마스크(305)를 고정하도록 구성된다. 다른 실시형태에서, 리소그래피 도구(302)는 패턴 마스크(305)의 이미지에 대응하는 인쇄된 패턴 엘리먼트들을 생성하기 위하여 하나 이상의 조명 빔(504)에 의해 조명된 패턴 마스크(305)의 이미지를 샘플 스테이지(512) 상에 배치된 샘플(304) 상으로 투영하도록 구성되는 투사 광학계 세트를 포함한다. 다른 실시형태에서, 마스크 지지 디바이스(508)는 패턴 마스크(305)를 활성화 또는 위치시키도록 구성될 수도 있다. 예를 들어, 마스크 지지 디바이스(508)는 리소그래피 도구(302)의 투사 광학계에 대해 패턴 마스크(305)를 선택된 위치에서 활성화할 수도 있다.
샘플(304)은 패턴 마스크(305)의 이미지를 수용하기에 적합한 임의의 수의 광감성 재료들 및/또는 재료 층들을 포함할 수도 있다. 예를 들어, 샘플(304)은 레지스트 층(514)을 포함할 수도 있다. 이와 관련하여, 투사 광학계 세트는 패턴 마스크(305)의 이미지를 레지스트 층(514) 상으로 투영하여 레지스트 층(514)을 노출시킬 수도 있다. 후속 에칭 단계가 샘플(304) 상에 인쇄된 특징부들을 제공하기 위하여 노출된 재료(예컨대 양화 에칭) 또는 비노출된 재료(예컨대 음화 에칭)를 제거할 수도 있다. 게다가, 패턴 마스크(305)는 본 기술분야에서 공지된 임의의 이미징 구성에서 이용될 수도 있다. 예를 들어, 패턴 마스크(305)는 패턴 엘리먼트들이 인쇄된 패턴 엘리먼트들로서 양화 이미지화되는 양화 마스크(예컨대 명시야(bright-field) 마스크)일 수도 있다. 다른 예로서, 패턴 마스크(305)는 패턴 마스크(305)의 패턴 엘리먼트들이 음화 인쇄된 패턴 엘리먼트들(예컨대 갭들, 공간들 등)을 형성하는 음화 마스크(예컨대 암시야(dark-field) 마스크)일 수도 있다.
제어기(306)는 패턴 마스크(305) 상의 패턴 엘리먼트들의 샘플(304)로의 전사를 지시하기 위해 마스크 지지 디바이스(508) 및/또는 샘플 스테이지(512)에 통신적으로 커플링될 수도 있다.
도 6은 본 개시물의 하나의 실시형태에 따른, 샘플(304)의 멀티-패턴 구조에 대한 SEM 기반 계측을 수행하도록 배열된 계측 도구(303)를 예시한다.
하나의 실시형태에서, 계측 도구(303)는 하나 이상의 전자빔(603)을 생성하기 위한 전자빔 소스(602)를 포함한다. 전자빔 소스(602)는 본 기술분야에서 공지된 임의의 전자 소스를 포함할 수도 있다. 예를 들어, 전자빔 소스(602)는 하나 이상의 전자총을 비제한적으로 포함할 수도 있다. 예를 들면, 전자빔 소스(602)는 단일 일차 전자빔(primary electron beam)(603)을 생성하기 위한 단일 전자총을 포함할 수도 있다. 다른 경우에, 전자빔 소스(602)는 다수의 일차 전자빔들을 생성하기 위한 다수의 전자총들을 포함할 수도 있다.
다른 실시형태에서, 계측 도구(303)는 샘플 스테이지(610)를 포함한다. 샘플 스테이지(610)는 샘플(304)을 고정시킨다. 샘플(304)은 비제한적으로 반도체 웨이퍼(예컨대, 실리콘 웨이퍼)와 같이, 전자빔 현미경을 이용한 이미지 기반 계측에 적합한 임의의 샘플을 포함할 수도 있다. 다른 실시형태에서, 샘플 스테이지(610)는 작동가능한 스테이지이다. 예를 들어, 샘플 스테이지(610)는 하나 이상의 직선 방향들(예컨대, x-방향, y-방향, 및/또는 z-방향)을 따라 샘플(304)을 선택적으로 병진이동시키기에 적합한 하나 이상의 병진이동 스테이지를 비제한적으로 포함할 수도 있다. 다른 예로서, 샘플 스테이지(610)는 회전 방향을 따라 샘플(304)을 선택적으로 회전시키기에 적합한 하나 이상의 회전 스테이지를 비제한적으로 포함할 수도 있다. 다른 예로서, 샘플 스테이지(610)는 직선 방향을 따라 샘플을 병진이동시키고 그리고/또는 회전 방향을 따라 샘플(304)을 회전시키기에 적합한 회전 스테이지 및 병진이동 스테이지를 비제한적으로 포함할 수도 있다.
다른 실시형태에서, 계측 도구(303)는 검출기 어셈블리(612)를 포함한다. 예를 들어, 검출기 어셈블리(612)는 이차 전자(secondary electron) 검출기를 비제한적으로 포함할 수도 있다. 다른 예로서, 검출기 어셈블리(612)는 후방 산란 전자 검출기를 비제한적으로 포함할 수도 있다. 검출기 어셈블리(612)는 본 기술분야에서 공지된 임의의 유형의 전자 검출기를 포함할 수도 있다는 것에 주목해야 한다. 하나의 실시형태에서, 이차 전자들은 에버하트-쏜리(Everhart-Thornley) 검출기(또는 다른 유형의 신틸레이터(scintillator) 기반 검출기)를 사용하여 수집되고 이미징될 수도 있다. 다른 실시형태에서, 전자들은 마이크로 채널 플레이트(micro-channel plate, MCP)를 사용하여 수집되고 이미징될 수도 있다. 다른 실시형태에서, 전자들은 PIN 또는 p-n 접합 검출기, 이를테면 다이오드 또는 다이오드 어레이를 사용하여 수집되고 이미징될 수도 있다. 다른 실시형태에서, 전자들은 하나 이상의 아발란체 포토 다이오드들(avalanche photo diodes, APD들)을 사용하여 수집되고 이미징될 수도 있다.
다른 실시형태에서, 계측 도구(303)는 전자 광학 엘리먼트 세트를 포함한다. 전자 광학 엘리먼트 세트(604)는 도 6에 도시된 바와 같은 광축에 의해 정의되는 전자 광학적 컬럼을 형성할 수도 있다. 단순화를 목적으로, 단일 전자 광학적 컬럼이 도 6에 묘사되어 있다. 이 구성은 본 개시물에 대한 제한으로서 해석되지 않아야 한다는 것에 주목해야 한다. 예를 들어, 계측 도구(303)는 다수의 전자 광학적 컬럼들을 포함할 수도 있다.
전자 광학 엘리먼트 세트(604)는 일차 전자빔(603)의 적어도 부분을 샘플(304) 상에 형성된 프로그래밍된 결함(403)을 포함하는 멀티-패턴 구조의 선택된 부분 상으로 지향시킬 수도 있다. 전자 광학 엘리먼트 세트는 SEM 기반 계측의 분야에서 공지된 임의의 전자 광학 엘리먼트들을 포함할 수도 있다. 하나의 실시형태에서, 전자 광학 엘리먼트 세트(604)는 하나 이상의 전자 광학 렌즈를 포함한다. 예를 들어, 하나 이상의 전자 광학 렌즈는 전자빔 소스(602)로부터 전자들을 수집하기 위한 하나 이상의 집광 렌즈(606)를 비제한적으로 포함할 수도 있다. 다른 예로서, 전자 광학 렌즈들은 일차 전자빔(603)을 샘플(304)의 선택된 영역 상으로 집광하기 위한 하나 이상의 대물 렌즈(608)를 비제한적으로 포함할 수도 있다.
제어기(306)의 하나 이상의 프로세서(308)는 본 기술분야에서 공지된 임의의 하나 이상의 프로세싱 엘리먼트를 포함할 수도 있다. 이러한 의미에서, 하나 이상의 프로세서(308)는 소프트웨어 알고리즘 및/또는 명령어를 실행하도록 구성되는 임의의 마이크로프로세서 타입의 디바이스를 포함할 수도 있다. 하나의 실시형태에서, 하나 이상의 프로세서(308)는, 본 개시물의 전체에 걸쳐 설명되는 바와 같이, 시스템(300)을 동작시키도록 구성되는 프로그램을 실행하도록 구성되는 데스크톱 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 다른 컴퓨터 시스템(예컨대, 네트워킹된 컴퓨터)으로 구성될 수도 있다. 본 개시물의 전체에 걸쳐 설명되는 단계들은 단일 컴퓨터 시스템 또는, 대안적으로, 다수의 컴퓨터 시스템들에 의해 실행될 수도 있다는 것이 인식되어야 한다. 일반적으로, "프로세서"라는 용어는 비일시적 메모리 매체(310)로부터의 프로그램 명령어를 실행하는 하나 이상의 프로세싱 엘리먼트들을 갖는 임의의 디바이스를 포괄하도록 폭넓게 정의될 수도 있다. 더구나, 시스템(300)의 상이한 서브시스템들(예컨대, 리소그래피 도구, 계측 도구, 공정 도구, 디스플레이 또는 사용자 인터페이스)이 본 개시물의 전체에 걸쳐 설명되는 단계들의 적어도 부분을 실행하기에 적합한 프로세서 또는 로직 엘리먼트들을 포함할 수도 있다. 그러므로, 위의 설명은 본 개시물에 대한 제한으로서 해석되지 않고 단지 예시로서 해석되어야 한다.
메모리 매체(310)는 연관된 하나 이상의 프로세서(308)에 의해 실행 가능한 프로그램 명령어를 저장하기에 적합한 본 기술분야에서 공지된 임의의 저장 매체를 포함할 수도 있다. 예를 들어, 메모리 매체(310)는 비일시적 메모리 매체를 포함할 수도 있다. 예를 들면, 메모리 매체(310)는 판독전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학적 메모리 디바이스(예컨대, 디스크), 자기 테이프, 고체 상태 드라이브 등을 비제한적으로 포함할 수도 있다. 다른 실시형태에서, 매체(310)는 리소그래피 도구(302) 또는 계측 도구로부터의 하나 이상의 결과 및/또는 본 명세서에서 설명되는 다양한 단계들의 출력을 저장하도록 구성된다. 매체(310)는 하나 이상의 프로세서(308)와 함께 공통 제어기 하우징에 하우징될 수도 있다는 것도 주목해야 한다. 대체 실시형태에서, 매체(310)는 프로세서들 및 제어기(306)의 물리적 위치에 대해 원격으로 배치될 수도 있다.
다른 실시형태에서, 시스템(300)은 사용자 인터페이스를 포함한다. 하나의 실시형태에서, 사용자 인터페이스는 제어기(306)의 하나 이상의 프로세서(308)에 통신적으로 커플링된다. 다른 실시형태에서, 사용자 인터페이스는 사용자로부터의 선택 및/또는 명령을 수용하기 위해 제어기(306)에 의해 이용될 수도 있다. 일부 실시형태들에서, 디스플레이가 데이터를 사용자에게 디스플레이하는데 사용될 수도 있다. 결국, 사용자가 디스플레이 디바이스를 통해 사용자에게 디스플레이되는 데이터에 응답하여 선택 및/또는 명령을 입력할 수도 있다.
사용자 인터페이스 디바이스는 본 기술분야에서 공지된 임의의 사용자 인터페이스를 포함할 수도 있다. 예를 들어, 사용자 인터페이스는 키보드, 키패드, 터치스크린, 레버, 노브, 스크롤 휠, 트랙 볼, 스위치, 다이얼, 슬라이딩 바, 스크롤 바, 슬라이드, 핸들, 터치 패드, 패들, 스티어링 휠, 조이스틱, 베젤 장착 입력 디바이스 등을 비제한적으로 포함할 수도 있다. 터치스크린 인터페이스 디바이스의 경우, 본 기술분야의 통상의 기술자들은 다수의 터치스크린 인터페이스 디바이스들이 본 발명에서의 구현에 적합할 수도 있다는 것을 인식해야 한다. 예를 들면, 디스플레이 디바이스는, 비제한적으로, 용량성 터치스크린, 저항성 터치스크린, 표면 음향 기반 터치스크린, 적외선 기반 터치스크린 등과 같은 터치스크린 인터페이스와 통합될 수도 있다. 일반적 의미에서, 디스플레이 디바이스의 디스플레이 부분과 통합할 수 있는 임의의 터치스크린 인터페이스가 본 개시물에서의 구현에 적합하다.
그 디스플레이 디바이스는 본 기술분야에서 공지된 임의의 디스플레이 디바이스를 포함할 수도 있다. 하나의 실시형태에서, 디스플레이 디바이스는 액정 디스플레이(crystal display, LCD), 유기 발광 다이오드(organic light-emitting diode, OLED) 기반 디스플레이 또는 CRT 디스플레이를 비제한적으로 포함할 수도 있다. 본 기술분야의 통상의 기술자들은 다양한 디스플레이 디바이스들이 본 개시물에서의 구현에 적합할 수도 있고 디스플레이 디바이스의 특정 선택이 폼 팩터, 비용 등을 비제한적으로 포함하는 다양한 인자에 의존할 수도 있다는 것을 인식해야 한다. 일반적 의미에서, 사용자 인터페이스 디바이스(예컨대, 터치스크린, 베젤 장착 인터페이스, 키보드, 마우스, 트랙패드 등)와 통합할 수 있는 임의의 디스플레이 디바이스가 본 개시물에서의 구현에 적합하다.
일부 실시형태들에서, 본 명세서에서 설명되는 시스템(300)의 제어기(306)는 "독립형 도구", 또는 공정 도구에 물리적으로 커플링되지 않는 도구로서 구성될 수도 있다. 다른 실시형태들에서, 제어기(306)는 유선 및/또는 무선 부분들을 포함할 수도 있는 송신 매체에 의해 공정 도구, 검사 도구, 또는 계측 도구에 커플링될 수도 있다. 공정 도구는 리소그래피 도구, 에치 도구, 퇴적 도구, 연마 도구, 도금 도구, 정선 도구, 또는 이온 주입 도구와 같은 본 기술분야에서 공지된 임의의 공정 도구를 포함할 수도 있다. 본 명세서에서 설명되는 시스템들에 의해 수행되는 계측 및/또는 검사의 결과들은 피드백 제어 기법, 피드포워드 제어 기법, 및/또는 현장 제어 기법을 사용하여 반도체 제작 프로세스의 공정 또는 공정 도구의 파라미터를 변경하는데 사용될 수도 있다. 공정 또는 공정 도구의 파라미터는 수동으로 또는 자동으로 변경될 수도 있다.
본 명세서에서 설명되는 방법들의 모두는 저장 매체에 방법 실시형태들의 하나 이상의 단계들의 결과들을 저장하는 것을 포함할 수도 있다. 그 결과들은 본 명세서에서 설명되는 결과들 중 임의의 것을 포함할 수도 있고 본 기술분야에서 공지된 임의의 방식으로 저장될 수도 있다. 저장 매체는 본 명세서에서 설명되는 임의의 저장 매체 또는 본 기술분야에서 공지된 임의의 다른 적합한 저장 매체를 포함할 수도 있다. 그 결과들이 저장된 후, 그 결과들은 저장 매체에서 액세스되고 본 명세서에서 설명되는 방법 또는 시스템 실시형태들 중 임의의 것에 의해 사용, 사용자에의 디스플레이를 위해 포맷팅, 다른 소프트웨어 모듈, 방법, 또는 시스템에 의해 사용 등이 될 수 있다. 더욱이, 그 결과들은 "영구적으로", "반영구적으로", 일시적으로, 또는 얼마간의 기간 동안 저장될 수도 있다. 예를 들어, 저장 매체는 랜덤 액세스 메모리(random access memory, RAM)일 수도 있고, 그 결과들은 저장 매체에 반드시 무기한으로 지속되지 않을 수도 있다.
시스템들의 양태들의 하드웨어 및 소프트웨어 구현물들 사이에는 남아있는 약간의 차이들이 있으며, 하드웨어 또는 소프트웨어의 사용은 대체로(하지만 항상 그렇지는 않지만, 특정한 상황들에서 하드웨어 및 소프트웨어 사이의 선정은 중요해질 수 있다는 점에서) 디자인 선정 표현 비용 대 효율 트레이드오프인 지점으로 진행하고 있다는 점을 본 기술분야의 통상의 기술자들은 인식할 것이다. 본 명세서에서 설명되는 프로세스들 및/또는 시스템들 및/또는 다른 기술들이 유효하게 될 수도 있게 하는 다양한 수단(vehicle)들(예컨대, 하드웨어, 소프트웨어, 및/또는 펌웨어)이 존재하고, 이 프로세스들 및/또는 시스템들 및/또는 다른 기술들이 전개되는 상황에 따라 바람직한 수단이 가변할 것임을 본 기술분야의 통상의 기술자들은 이해할 것이다. 예를 들어, 구현자(implementer)가 속도 및 정확도가 다른 무엇보다 중요하다고 결정하면, 구현자는 주로 하드웨어 및/또는 펌웨어 수단을 택할 수도 있으며; 다르게는, 유연성이 무엇보다도 중요하다면, 구현자는 주로 소프트웨어 구현물을 택할 수도 있거나; 또는, 또 다시 다르게는, 구현자는 하드웨어, 소프트웨어, 및/또는 펌웨어의 일부 조합을 택할 수도 있다. 그런고로, 본 명세서에서 설명되는 프로세스들 및/또는 디바이스들 및/또는 다른 기술들이 유효하게 될 수도 있는 여러 가능한 수단이 있으며, 이용될 임의의 수단이 그 수단이 전개될 맥락과 구현자의 특정 염려(예컨대, 속력, 유연성, 또는 예측가능성)에 의존한 선택이라는 점에서 그들 수단 중 어느 것도 다른 수단보다 우수하지 않으며, 그것들 중 어느 것이든 가변할 수도 있다. 본 기술분야의 통상의 기술자들은 구현예들의 광학적 양태들이 광지향 하드웨어, 소프트웨어, 및/또는 펌웨어를 일반적으로 채용할 것임을 인식할 것이다.
본 기술분야의 숙련된 자들은, 디바이스들 및/또는 프로세스들을 본 개시물에 언급된 형태로 설명하는 것과 그 후에 엔지니어링 관행들을 이용하여 이렇게 설명된 디바이스들 및/또는 프로세스들을 데이터 처리 시스템들에 통합하는 것이 이 기술분야 내에서 흔하다는 것을 인정할 것이다. 다시 말하면, 본원에서 설명되는 디바이스들 및/또는 프로세스들의 적어도 일 부분은 합리적인 양의 실험을 통해 데이터 처리 시스템에 통합될 수 있다. 본 기술분야의 통상의 기술자들은, 전형적인 데이터 프로세싱 시스템이 일반적으로, 시스템 유닛 하우징, 비디오 디스플레이 디바이스, 휘발성 및 비휘발성 메모리와 같은 메모리, 마이크로프로세서들 및 디지털 신호 프로세서들과 같은 프로세서들, 운영 체제들, 드라이버들, 그래픽 사용자 인터페이스들, 및 애플리케이션 프로그램들과 같은 컴퓨테이션 엔티티들, 하나 이상의 상호작용 디바이스들, 이를테면 터치 패드 또는 스크린, 및/또는 피드백 루프들 및 제어 모터들(예컨대, 포지션 및/또는 속도를 감지하기 위한 피드백; 컴포넌트들 및/또는 양들을 이동 및/또는 조정하기 위한 제어 모터들)을 포함한 제어 시스템들 중 하나 이상을 포함한다는 것을 인식할 것이다. 전형적인 데이터 프로세싱 시스템은 임의의 적합한 상업적으로 입수가능한 컴포넌트들, 이를테면 데이터 컴퓨팅/통신 및/또는 네트워크 컴퓨팅/통신 시스템들에서 일반적으로 발견되는 것들을 활용하여 구현될 수도 있다.
본 개시물과 많은 그것의 수반되는 장점들은 앞서의 설명에 의해 이해될 것이고, 다양한 변경들이 개시된 요지로부터 벗어나는 일 없이 또는 그것의 재료 장점들의 모두를 희생시키는 일 없이 컴포넌트들의 형상, 구성, 및 배열에서 이루어질 수도 있다는 것이 명백할 것이라고 생각된다. 설명된 형태는 단지 설명적인 것이고, 다음의 청구항들이 이러한 변경들을 포괄하고 포함하는 것이 의도된다.

Claims (29)

  1. 시스템에 있어서,
    샘플 상에 제1 어레이 패턴과 제2 어레이 패턴 ― 상기 제1 어레이 패턴 또는 상기 제2 어레이 패턴 중 적어도 하나는, 상기 제2 어레이 패턴으로부터 상기 제1 어레이 패턴을 구별하기 위한 프로그래밍된 결함을 포함함 ― 을 포함하는 멀티-패턴 구조를 형성하도록 구성되는 리소그래피 도구;
    상기 프로그래밍된 결함을 포함하는 시야(field-of-view)를 갖는 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴의 하나 이상의 이미지를 취득하도록 구성되는 계측 도구; 및
    하나 이상의 프로세서를 포함하는 제어기를 포함하며,
    상기 하나 이상의 프로세서는, 상기 하나 이상의 프로세서로 하여금 메모리에 포함된 프로그램 명령어 세트를 실행하게 하도록 구성되며, 상기 프로그램 명령어 세트는 상기 하나 이상의 프로세서로 하여금,
    상기 계측 도구로부터 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴의 하나 이상의 이미지를 수신하게 하며; 그리고
    상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나에 연관된 하나 이상의 계측 파라미터를 결정하게 하도록
    구성되는, 시스템.
  2. 제1항에 있어서, 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나에 연관된 하나 이상의 계측 파라미터를 결정하는 것은,
    상기 제1 어레이 패턴과 상기 제2 어레이 패턴 사이의 오버레이 에러를 결정하는 것을 포함하는, 시스템.
  3. 제1항에 있어서, 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나에 연관된 하나 이상의 계측 파라미터를 결정하는 것은,
    상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나의 임계 치수(critical dimension, CD)를 결정하는 것을 포함하는, 시스템.
  4. 제1항에 있어서, 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나에 연관된 하나 이상의 계측 파라미터를 결정하는 것은,
    상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나의 임계 치수 균일성(critical dimension uniformity, CDU)을 결정하는 것을 포함하는, 시스템.
  5. 제1항에 있어서, 상기 프로그래밍된 결함은,
    돌출부(protrusion) 결함, 관입(intrusion) 결함, 핀칭 결함, 갭 결함, 핀홀 결함, 굽힘(bending) 결함, 또는 브릿지(bridging) 결함 중 적어도 하나를 포함하는, 시스템.
  6. 제1항에 있어서, 상기 프로그래밍된 결함은 상기 샘플의 스크라이브 라인 영역, 더미충전 영역, 또는 실제 디바이스 영역 중 적어도 하나에 형성되는, 시스템.
  7. 제1항에 있어서, 상기 리소그래피 도구는,
    극자외선(extreme ultraviolet, EUV) 리소그래피 도구 또는 전자빔 리소그래피 도구 중 적어도 하나를 포함하는, 시스템.
  8. 제1항에 있어서, 상기 계측 도구는,
    주사 전자 현미경법(scanning electron microscopy, SEM) 계측 도구를 포함하는, 시스템.
  9. 제1항에 있어서, 상기 샘플은,
    반도체 웨이퍼를 포함하는, 시스템.
  10. 리소그래피 도구에 있어서,
    조명 소스;
    하나 이상의 마스크를 고정하도록 구성되는 마스크 스테이지;
    샘플을 고정하도록 구성되는 샘플 스테이지; 및
    상기 하나 이상의 패턴 마스크로부터의 둘 이상의 패턴들을 상기 샘플에 투영시키기 위해 상기 조명 소스로부터의 조명을 상기 하나 이상의 패턴 마스크로 지향시키도록 구성되는 광학계 세트를 포함하고,
    상기 하나 이상의 패턴 마스크는 하나 이상의 프로그래밍된 결함을 포함하는 적어도 하나의 어레이 매트를 포함하며, 상기 하나 이상의 패턴 마스크는 상기 샘플 상에 제1 어레이 패턴 및 제2 어레이 패턴을 형성하도록 구성되고, 상기 제1 어레이 패턴 또는 상기 제2 어레이 패턴 중 적어도 하나는 상기 제2 어레이 패턴으로부터 상기 제1 어레이 패턴을 구별하기 위한 프로그래밍된 결함을 포함하는, 리소그래피 도구.
  11. 제10항에 있어서, 제어기를 더 포함하는, 리소그래피 도구.
  12. 제11항에 있어서, 상기 제어기는 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나에 연관된 하나 이상의 계측 파라미터를 결정하도록 구성되는, 리소그래피 도구.
  13. 제12항에 있어서, 상기 제어기는 또한, 상기 제1 어레이 패턴과 상기 제2 어레이 패턴 사이의 오버레이 에러를 결정하도록 구성되는, 리소그래피 도구.
  14. 제12항에 있어서, 상기 제어기는 또한, 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나의 임계 치수(critical dimension, CD)를 결정하도록 구성되는, 리소그래피 도구.
  15. 제12항에 있어서, 상기 제어기는 또한, 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나의 임계 치수 균일성(critical dimension uniformity, CDU)을 결정하도록 구성되는, 리소그래피 도구.
  16. 제10항에 있어서, 상기 프로그래밍된 결함은,
    돌출부 결함, 관입 결함, 핀칭 결함, 갭 결함, 핀홀 결함, 굽힘 결함, 또는 브릿지 결함 중 적어도 하나를 포함하는, 리소그래피 도구.
  17. 제10항에 있어서, 상기 리소그래피 도구는 상기 샘플의 스크라이브 라인 영역, 더미충전 영역, 또는 실제 디바이스 영역 중 적어도 하나에 상기 프로그래밍된 결함을 형성하는, 리소그래피 도구.
  18. 제10항에 있어서, 상기 리소그래피 도구는, 극자외선(extreme ultraviolet, EUV) 리소그래피 도구 또는 전자빔 리소그래피 도구 중 적어도 하나를 포함하는, 리소그래피 도구.
  19. 제10항에 있어서, 상기 샘플은,
    반도체 웨이퍼를 포함하는, 리소그래피 도구.
  20. 계측 도구에 있어서,
    일차 전자빔(primary electron beam)을 생성하도록 구성되는 전자빔 소스;
    샘플을 고정하도록 구성되는 샘플 스테이지;
    상기 일차 전자빔의 적어도 일부를 상기 샘플의 부분 상으로 지향시키도록 구성되는 전자 광학 엘리먼트들의 세트;
    상기 샘플의 표면 상에 배치된 둘 이상의 어레이 패턴들 ― 상기 둘 이상의 어레이 패턴들의 제1 어레이 패턴 또는 제2 어레이 패턴 중 적어도 하나가 상기 제2 어레이 패턴으로부터 상기 제1 어레이 패턴을 구별하기 위한 프로그래밍된 결함을 포함함 ― 중 하나 이상의 부분으로부터 방출되는 전자들을 검출하도록 구성되는 검출기 어셈블리; 및
    하나 이상의 프로세서를 포함하는 제어기를 포함하며,
    상기 하나 이상의 프로세서는 상기 하나 이상의 프로세서로 하여금 메모리에 포함된 프로그램 명령어 세트를 실행하게 하도록 구성되며, 상기 프로그램 명령어 세트는 상기 하나 이상의 프로세서로 하여금,
    상기 검출기 어셈블리로부터 상기 둘 이상의 어레이 패턴들에 연관된 이미지 데이터를 수신하게 하며; 그리고
    상기 수신된 이미지 데이터에 기초하여 상기 둘 이상의 어레이 패턴들에 연관된 하나 이상의 계측 파라미터를 결정하게 하도록
    구성되는, 계측 도구.
  21. 제20항에 있어서, 제어기를 더 포함하는, 계측 도구.
  22. 제21항에 있어서, 상기 제어기는 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나에 연관된 하나 이상의 계측 파라미터를 결정하도록 구성되는, 계측 도구.
  23. 제21항에 있어서, 상기 제어기는 또한, 상기 제1 어레이 패턴과 상기 제2 어레이 패턴 사이의 오버레이 에러를 결정하도록 구성되는, 계측 도구.
  24. 제21항에 있어서, 상기 제어기는 또한, 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나의 임계 치수(critical dimension, CD)를 결정하도록 구성되는, 계측 도구.
  25. 제21항에 있어서, 상기 제어기는 또한, 상기 제1 어레이 패턴 및 상기 제2 어레이 패턴 중 적어도 하나의 임계 치수 균일성(critical dimension uniformity, CDU)을 결정하도록 구성되는, 계측 도구.
  26. 제20항에 있어서, 상기 프로그래밍된 결함은, 돌출부 결함, 관입 결함, 핀칭 결함, 갭 결함, 핀홀 결함, 굽힘 결함, 또는 브릿지 결함 중 적어도 하나를 포함하는, 계측 도구.
  27. 제20항에 있어서, 상기 프로그래밍된 결함은 상기 샘플의 스크라이브 라인 영역, 더미충전 영역, 또는 실제 디바이스 영역 중 적어도 하나에 형성되는, 계측 도구.
  28. 제20항에 있어서, 주사 전자 현미경법(scanning electron microscopy, SEM) 계측 도구를 포함하는, 계측 도구.
  29. 제20항에 있어서, 상기 샘플은,
    반도체 웨이퍼를 포함하는, 계측 도구.
KR1020197014227A 2016-10-20 2017-10-19 계측 측정에 사용하기 위한 프로그래밍된 결함을 생성하는 방법 및 시스템 KR102276923B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662410397P 2016-10-20 2016-10-20
US62/410,397 2016-10-20
US15/730,551 US10768533B2 (en) 2016-10-20 2017-10-11 Method and system for generating programmed defects for use in metrology measurements
US15/730,551 2017-10-11
PCT/US2017/057453 WO2018075804A1 (en) 2016-10-20 2017-10-19 Method and system for generating programmed defects for use in metrology measurements

Publications (2)

Publication Number Publication Date
KR20190058677A true KR20190058677A (ko) 2019-05-29
KR102276923B1 KR102276923B1 (ko) 2021-07-13

Family

ID=61969578

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197014227A KR102276923B1 (ko) 2016-10-20 2017-10-19 계측 측정에 사용하기 위한 프로그래밍된 결함을 생성하는 방법 및 시스템

Country Status (6)

Country Link
US (1) US10768533B2 (ko)
JP (1) JP6906050B2 (ko)
KR (1) KR102276923B1 (ko)
CN (1) CN109964177B (ko)
TW (1) TWI747973B (ko)
WO (1) WO2018075804A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210028091A (ko) * 2019-08-29 2021-03-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 웨이퍼 검사를 위한 방법 및 그 시스템

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US10296702B2 (en) * 2017-03-15 2019-05-21 Applied Materials Israel Ltd. Method of performing metrology operations and system thereof
US10120973B2 (en) 2017-03-15 2018-11-06 Applied Materials Israel Ltd. Method of performing metrology operations and system thereof
KR20230153509A (ko) * 2018-12-31 2023-11-06 에이에스엠엘 네델란즈 비.브이. 프로세스 제어를 위한 인-다이 계측 방법 및 시스템
KR102641682B1 (ko) * 2019-02-20 2024-02-27 에이에스엠엘 네델란즈 비.브이. 반도체 디바이스의 제조 프로세스를 특성화하기 위한 방법
US11914290B2 (en) * 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
EP3923078A1 (en) * 2020-06-10 2021-12-15 ASML Netherlands B.V. Heigth measurement method and height measurement system
EP4152096A1 (en) * 2021-09-15 2023-03-22 ASML Netherlands B.V. System and method for inspection by failure mechanism classification and identification in a charged particle system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080170780A1 (en) * 2007-01-16 2008-07-17 Asml Netherlands B.V. Inspection method and apparatus,lithographic apparatus, lithographic processing cell and device manufacturing method
US20120123581A1 (en) * 2010-11-12 2012-05-17 Asml Netherlands B.V. Metrology Method and Inspection Apparatus, Lithographic System and Device Manufacturing Method
US20130308142A1 (en) * 2012-05-21 2013-11-21 Asml Netherlands B.V. Determining a structural parameter and correcting an asymmetry property
KR20140096331A (ko) * 2011-11-01 2014-08-05 케이엘에이-텐코 코포레이션 복수의 피치를 측정하기 위한 오버레이 타겟 지오메트리
US20150042987A1 (en) * 2004-12-19 2015-02-12 Kla-Tencor Corporation Front Quartersphere Scattered Light Analysis
US20160018742A1 (en) * 2008-08-19 2016-01-21 Asml Netherlands B.V. Method of Measuring Overlay Error and a Device Manufacturing Method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003315284A (ja) * 2002-04-24 2003-11-06 Mitsubishi Electric Corp パターン検査装置の感度調整方法
KR20060084922A (ko) * 2005-01-21 2006-07-26 삼성전자주식회사 오버레이 측정 장치의 보정 방법
CN101650534B (zh) * 2009-07-24 2012-12-12 上海宏力半导体制造有限公司 测量曝光机台焦平面均匀度的方法
JP2013502592A (ja) * 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
US9214317B2 (en) * 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
US9347862B2 (en) * 2013-08-06 2016-05-24 Kla-Tencor Corp. Setting up a wafer inspection process using programmed defects
US10267746B2 (en) * 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150042987A1 (en) * 2004-12-19 2015-02-12 Kla-Tencor Corporation Front Quartersphere Scattered Light Analysis
US20080170780A1 (en) * 2007-01-16 2008-07-17 Asml Netherlands B.V. Inspection method and apparatus,lithographic apparatus, lithographic processing cell and device manufacturing method
US20160018742A1 (en) * 2008-08-19 2016-01-21 Asml Netherlands B.V. Method of Measuring Overlay Error and a Device Manufacturing Method
US20120123581A1 (en) * 2010-11-12 2012-05-17 Asml Netherlands B.V. Metrology Method and Inspection Apparatus, Lithographic System and Device Manufacturing Method
KR20140096331A (ko) * 2011-11-01 2014-08-05 케이엘에이-텐코 코포레이션 복수의 피치를 측정하기 위한 오버레이 타겟 지오메트리
US20130308142A1 (en) * 2012-05-21 2013-11-21 Asml Netherlands B.V. Determining a structural parameter and correcting an asymmetry property

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210028091A (ko) * 2019-08-29 2021-03-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 웨이퍼 검사를 위한 방법 및 그 시스템

Also Published As

Publication number Publication date
TW201827812A (zh) 2018-08-01
US10768533B2 (en) 2020-09-08
JP6906050B2 (ja) 2021-07-21
WO2018075804A1 (en) 2018-04-26
KR102276923B1 (ko) 2021-07-13
TWI747973B (zh) 2021-12-01
CN109964177A (zh) 2019-07-02
US20180113387A1 (en) 2018-04-26
CN109964177B (zh) 2021-11-02
JP2019537745A (ja) 2019-12-26

Similar Documents

Publication Publication Date Title
KR102276923B1 (ko) 계측 측정에 사용하기 위한 프로그래밍된 결함을 생성하는 방법 및 시스템
JP6790172B2 (ja) 相互レシピ整合性に基づくレシピ選択
US10379445B2 (en) Metrology method, target and substrate
CN107924119B (zh) 检查设备、检查方法及制造方法
US9786044B2 (en) Method of measuring asymmetry, inspection apparatus, lithographic system and device manufacturing method
US10042268B2 (en) Method, apparatus and substrates for lithographic metrology
US9588441B2 (en) Method and device for using substrate geometry to determine optimum substrate analysis sampling
US20170047195A1 (en) Determining a Position of a Defect in an Electron Beam Image
US7858404B2 (en) Measurement of overlay offset in semiconductor processing
JP5843241B2 (ja) 検査装置、及び検査方法
US11385552B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
CN105934717B (zh) 可操作以对衬底执行测量操作的设备、光刻设备以及对衬底执行测量操作的方法
KR102496148B1 (ko) 반도체 웨이퍼 검사를 위한 방법 및 그 시스템
JP2022548544A (ja) 多走査電子顕微鏡法を使用したウェーハアライメント
US6562639B1 (en) Utilizing electrical performance data to predict CD variations across stepper field
US9151712B1 (en) Rule checking for metrology and inspection
CN108028210B (zh) 用于使用灵活取样的过程控制的方法及系统
JP2020518786A (ja) 複数イメージ粒子検出のシステム及び方法
TW202248884A (zh) 用於判定與微影製程相關之隨機度量之方法
JP2005310833A (ja) 基板検査装置および方法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
GRNT Written decision to grant