JP6849199B2 - フィン端部応力誘起フィーチャを有する半導体デバイス - Google Patents

フィン端部応力誘起フィーチャを有する半導体デバイス Download PDF

Info

Publication number
JP6849199B2
JP6849199B2 JP2019518032A JP2019518032A JP6849199B2 JP 6849199 B2 JP6849199 B2 JP 6849199B2 JP 2019518032 A JP2019518032 A JP 2019518032A JP 2019518032 A JP2019518032 A JP 2019518032A JP 6849199 B2 JP6849199 B2 JP 6849199B2
Authority
JP
Japan
Prior art keywords
semiconductor
dielectric
region
dielectric plug
plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019518032A
Other languages
English (en)
Other versions
JP2020501334A (ja
Inventor
ホ、バイロン
ハッテンドーフ、マイケル、エル.
ルース、ジェアン、エル.
メイズ、エボニー、エル.
トンプソン、エリカ、ジェイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2020501334A publication Critical patent/JP2020501334A/ja
Application granted granted Critical
Publication of JP6849199B2 publication Critical patent/JP6849199B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Description

本発明の実施形態は、半導体デバイスおよび半導体加工、特に、フィン端部応力誘起フィーチャを有する半導体デバイスおよびフィン端部応力誘起フィーチャを有する半導体デバイスの製造方法の分野におけるものである。
過去数十年にわたり、集積回路内のフィーチャのスケーリングは、成長を続ける半導体産業を後押しする原動力となってきた。よりいっそう微細なフィーチャにスケーリングすることにより、半導体チップの限られた面積における機能ユニットの密度を大きくすることが可能となる。例えば、トランジスタのサイズを縮小することで、より多数のメモリまたはロジックデバイスをチップ上に組み込むことが可能となり、製造される製品の収容能力が大きくなる。しかしながら、これまで以上に大きな収容能力に推し進めるには、課題が無いわけではない。各デバイスの性能を最適化する必要性がますます重要となる。
集積回路デバイスの製造において、デバイス寸法がスケールダウンを続けるに伴い、トライゲートトランジスタなどのマルチゲートトランジスタがより広く普及するようになった。従来のプロセスでは、トライゲートトランジスタは一般に、バルクシリコン基板またはシリコン・オン・インシュレータ基板のいずれかの基板上に製造される。場合によっては、より低コストであり、またより複雑性の低いトライゲート製造プロセスが可能になるという理由から、バルクシリコン基板が好まれる。
しかしながら、マルチゲートトランジスタのスケーリングには、考慮すべき事項がないわけではない。マイクロ電子回路のこれらの基本構築ブロックの寸法が低減されるに伴い、デバイス寸法が10ナノメートル(10nm)ノード未満にスケーリングする中で移動度の向上および短チャネル制御を維持することが、デバイス製造における課題となる。
トランジスタの移動度を向上させるべく、多数の様々な技法が試みられてきた。しかしながら、半導体デバイスにおける電子および/または正孔の移動度の面では、以前として顕著な向上が必要とされている。
継ぎ目または空隙を伴うフィン端部フィーチャを有する従来技術の半導体構造の断面図を例示する。
本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の断面図を例示する。
本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の製造方法における様々な工程を表す断面図を例示する。 本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の製造方法における様々な工程を表す断面図を例示する。 本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の製造方法における様々な工程を表す断面図を例示する。 本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の製造方法における様々な工程を表す断面図を例示する。 本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の製造方法における様々な工程を表す断面図を例示する。 本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の製造方法における様々な工程を表す断面図を例示する。
本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する非プレーナ型半導体デバイスの断面図を例示する。 本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する非プレーナ型半導体デバイスの(断面図のa−a'軸に沿って切った)平面図を例示する。
本発明の別の実施形態に係るフィン端部応力誘起フィーチャを有する別の半導体構造の断面図を例示する。
本発明の別の実施形態に係るフィン端部応力誘起フィーチャを有する別の半導体構造の断面図を例示する。
本発明の一実施形態に係る引張単軸応力を有するフィンの斜視図を例示する。
本発明の一実施形態に係る圧縮単軸応力を有するフィンの斜視図を例示する。
本明細書に記載の実施形態に係る誘電体プラグを含まないフィンの上部40ナノメートルにわたる[110]面に沿った平均チャネル応力を示すプロットを含む。
本明細書に記載の実施形態に係る誘電体プラグを含むフィンの上部40ナノメートルにわたる[110]面に沿った平均チャネル応力を示すプロットを含む。
本発明の一実施形態の1つの実装例に係るコンピューティングデバイスを例示する。
本発明の1または複数の実施形態を含むインターポーザを例示する。
フィン端部応力誘起フィーチャを有する半導体デバイス、およびフィン端部応力誘起フィーチャを有する半導体デバイスの製造方法を説明する。以下の説明では、本発明の実施形態の完全な理解を提供するべく、具体的なインテグレーションおよび材料の様態などの多数の具体的な詳細を記載する。これらの具体的な詳細がなくとも本発明の実施形態が実施され得ることは、当業者には明らかであろう。他方、本発明の実施形態を不必要に不明瞭にしないよう、集積回路設計レイアウトなどの広く知られた特徴は詳細に説明しない場合もある。さらに、図に示す様々な実施形態は、例示的な図示であって必ずしも原寸通りに作図したものではないことを理解されたい。
以下の説明においては特定の術語を単に参考としての目的で用いる場合もあり、よってそれらに限定の意図はない。例えば、「上」、「下」、「上方」、および「下方」などの用語は、参照されている図面における方向を指す。「前」、「後」、「裏」、および「側」などの用語は、議論の対象とする構成要素について説明する記載および関連の図面を参照することによって明らかとなる、一貫した任意の基準フレーム内における構成要素の各部分の向きおよび/または位置を説明するものである。そのような術語には、上記で具体的に言及した文言、それらの派生語、および類似の趣旨の文言が含まれてよい。
1または複数の実施形態は、フィン型半導体デバイスの製造を対象とする。そのようなデバイスの性能向上は、ポリプラグ充填プロセスによって誘起されるチャネル応力を用いてなされる場合がある。実施形態は、ポリプラグ充填プロセスにおける材料特性を利用して、金属酸化膜半導体電界効果トランジスタ(MOSFET)チャネルに機械的応力を誘起することを含んでよい。その結果、誘起された応力により、トランジスタの移動度および駆動電流を上昇させることができる。加えて、本明細書に記載のプラグ充填方法により、堆積の間に何らかの継ぎ目または空隙が形成されないようにすることが可能となる場合がある。
背景を説明すると、フィンに当接するプラグ充填物の固有の材料特性を操作することにより、チャネル内に応力を誘起することができる。1または複数の実施形態によれば、プラグ充填材料の組成、堆積、および後処理の条件を調整することにより、チャネル内の応力が調節されることで、NMOSトランジスタおよびPMOSトランジスタの両方で利点がもたらされる。加えて、そのようなプラグは、エピタキシャルソース/ドレインなどの他の一般的な応力印加技法と比較して、フィン基板内のより深くに位置することができる。そのような効果を実現するプラグ充填物の性質はまた、堆積の間における継ぎ目または空隙をなくし、プロセスの間における特定の欠陥モードを軽減する。
さらに背景を説明すると、現在のところポリプラグの意図的な応力エンジニアリング法は存在しない。エピタキシャルソース/ドレイン、ダミーポリゲート除去、応力ライナー等のような従来の応力印加法による応力の向上は、残念ながら、デバイスピッチが縮小するにつれて先細りになる傾向がある。上記のうち1または複数の課題に対処するものとして、本発明の1または複数の実施形態によれば、さらなる応力源がトランジスタ構造に組み込まれる。そのようなプロセスによる別のさらなる利点としては、より従来的な化学気相成長法でよく見られるプラグ内の継ぎ目または空隙をなくすことがあり得る。
応力を低下させる継ぎ目または空隙を伴うプラグ充填フィーチャを有する構造と、応力を低下させる継ぎ目または空隙を伴わないプラグ充填フィーチャを有する構造との比較として、図1は、継ぎ目または空隙を伴うフィン端部フィーチャを有する従来技術の半導体構造の断面図を例示し、一方で図2は、本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の断面図を例示する。
図1を参照すると、半導体構造100は、基板104の上方の半導体フィン102を含む。半導体フィン102は、上面102A、第1端部102B、第2端部102C、および第1端部102Bと第2端部102Cとの間の側壁対(1つを102Dとして示す)を有する。ゲート電極106は、半導体フィン102の、上面102Aの一領域の上にあり、かつ側壁対102Dの一領域に横方向に隣接する。ゲート電極106は、半導体フィン102の第1端部102Bと第2端部102Cとの間にある。第1誘電体プラグ108Aは、半導体フィン102の第1端部102Bにある。第2誘電体プラグ108Bは、半導体フィン102の第2端部102Cにある。第1誘電体プラグ108Aおよび第2誘電体プラグ108Bは、各々、継ぎ目109を含む場合がある。
対照的に、図2を参照すると、半導体構造200は、基板204の上方の半導体フィン202を含む。半導体フィン202は、上面202A、第1端部202B、第2端部202C、および第1端部202Bと第2端部202Cとの間の側壁対(1つを202Dとして示す)を有する。ゲート電極206は、半導体フィン202の、上面202Aの一領域の上にあり、かつ側壁対202Dの一領域に横方向に隣接する。ゲート電極206は、半導体フィン202の第1端部202Bと第2端部202Cとの間にある。第1誘電体プラグ208Aは、半導体フィン202の第1端部202Bにある。第2誘電体プラグ208Bは、半導体フィン202の第2端部202Cにある。
図2に示す図は、フィン202の側壁202Dに接するゲート電極206を示すよう、フィン202のわずかに前方にあることを理解されたい。フィン202は、基板204の上方においてトレンチ分離領域を貫通して突出してよいことも理解されたい。図2の透視図ではそのようなトレンチ分離領域が見られないが、下記で説明する図4Aに例示的なトレンチ分離領域を図示する。また、図2の図でも同じように見えるであろうが、図3Bに見られるように、1つの実施形態において、第1誘電体プラグ208Aは、半導体フィン202の第1端部202Bの一部分の上に形成され、第2誘電体プラグ208Bは、半導体フィン202の第2端部202Cの一部分の上に形成される。しかしながら、別の実施形態において、第1誘電体プラグ208Aは、半導体フィン202の第1端部202Bに形成されるがその上には形成されず、第2誘電体プラグ208Bは、半導体フィン202の第2端部202Cに形成されるがその上には形成されない。加えて、1つよりも多くのゲート電極206が、半導体フィン202に沿って第1誘電体プラグ208Aと第2誘電体プラグ208Bとの間に含まれてよいことを理解されたい。
改めて図2を参照すると、第1誘電体プラグ208Aおよび第2誘電体プラグ208Bは、空隙または継ぎ目を含まない。そのような構成は、空隙無しの第1誘電体プラグ208Aおよび第2誘電体プラグ208B、または空隙の無い第1誘電体プラグ208Aおよび第2誘電体プラグ208Bを有する、として表現することができる。
これも図2に図示するように、一実施形態において、第1誘電体プラグ208Aおよび第2誘電体プラグ208Bの一方または両方が、半導体フィン202よりも、例えば量299だけ、基板204内のより深くにある。そのような構成は、ダミーゲート除去後にゲートトレンチを延設する置換ダミーゲートプロセスにおいて、ポリプラグ充填とも称されるゲートトレンチ充填の前に実現されてよい。
一実施形態において、図2に図示するように、第1誘電体プラグ208Aおよび第2誘電体プラグ208Bは各々、層間誘電体層212に配される対応するトレンチ210Aおよび210B内にそれぞれ配される。1つのそのような実施形態において、トレンチ210Aおよび210Bは各々、誘電体側壁スペーサ214を含む。同様に、一実施形態において、図2に図示するように、ゲート電極206が配されるトレンチ210Cは、誘電体側壁スペーサ216を含む。
一実施形態において、図3A〜図3Fに関連してより詳細に下記で説明するように、また図3Fを参照して、第1誘電体プラグ208Aおよび第2誘電体プラグ208Bは各々、第1誘電体材料304とは異なる第2誘電体材料308の横方向の周囲および下方にある第1誘電体材料304を含む。1つのそのような実施形態において、第1誘電体材料304は窒化シリコンであり、第2誘電体材料308は酸化シリコンである。そのような具体的実施形態において、第1誘電体材料はさらに、例えば追加部分310として、第2誘電体材料308の上にある。しかしながら、別の具体的実施形態において、第1誘電体プラグ208Aおよび第2誘電体プラグ208Bは各々、第2誘電体材料308の上、および第1誘電体材料304の複数の部分の間にある第3誘電体材料をさらに含み、例えば310が異なる誘電体材料である場合のように、第3誘電体材料は第1誘電体材料および第2誘電体材料とは異なる。
改めて図2を参照すると、半導体構造200は、半導体フィン202の第1端部202Bにおいてゲート電極206と第1誘電体プラグ208Aとの間に第1ソース/ドレイン領域218Aをさらに含む。第2ソース/ドレイン領域218Bは、半導体フィン202の第2端部202Cにおいてゲート電極206と第2誘電体プラグ208Bとの間にある。一実施形態において、第1ソース/ドレイン領域218Aおよび第2ソース/ドレイン領域218Bは、埋め込みソース/ドレイン領域である。第1ソース/ドレイン領域218Aおよび第2ソース/ドレイン領域218Bは、まずフィン202を部分的に除去し、次いで第1ソース/ドレイン領域218Aおよび第2ソース/ドレイン領域218Bをエピタキシャル成長させることによって形成されることから、「埋め込みエピ」ソース・ドレイン領域と呼ばれる。埋め込みエピの第1ソース/ドレイン領域218Aおよび第2ソース/ドレイン領域218Bを用いることで、応力を誘起することにより、デバイス性能が向上する場合がある。1つの実施形態において、ソース/ドレイン領域218Aおよび218Bは、半導体フィン202の半導体材料とは異なる半導体材料から構成される埋め込みソース/ドレイン領域である。
一実施形態において、ゲート電極206に連設される半導体フィン202の上面202Aの一領域および側壁対202Dの一領域は、N型半導体デバイスのチャネル領域を画定する。1つのそのような実施形態において、第1誘電体プラグ208Aおよび第2誘電体プラグ208Bは、チャネル領域に単軸引張応力を誘起する。別の実施形態において、ゲート電極206に連設される半導体フィン202の上面202Aの一領域および側壁対202Dの一領域は、P型半導体デバイスのチャネル領域を画定する。1つのそのような実施形態において、第1誘電体プラグ208Aおよび第2誘電体プラグ208Bは、チャネル領域に単軸圧縮応力を誘起する。
例示的な加工スキームにおいて、図3A〜図3Fは、本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する半導体構造の製造方法における様々な工程を表す断面図を例示する。
図3Aを参照すると、半導体構造の製造方法は、開始構造300の形成から始まる。半導体フィン202が、基板204の上方においてトレンチ分離領域を貫通して突出するよう形成される(トレンチ分離領域は図4Aに図示する)。半導体フィン202は、上面202A、第1端部202B、第2端部202C、および第1端部202Bと第2端部202Cとの間の側壁対202Dを有する。複数のダミーゲート構造302が、半導体フィン202の上方に形成され、層間誘電体(ILD)層212によって互いに隔てられる。複数のダミーゲート構造302のうちの第1ダミーゲート構造(左側の302)は、半導体フィン202の第1端部202Bにある。複数のダミーゲート構造302のうちの第2ダミーゲート構造(中間の302)は、半導体フィン202の、上面202Aの一領域の上にあり、かつ側壁対202Dの一領域に横方向に隣接する。複数のダミーゲート構造302のうちの第3ダミーゲート構造(右側の302)は、半導体フィン202の第2端部202Cにある。
一実施形態において、図示のように、開始構造300の形成は、埋め込みソース・ドレイン領域218Aおよび218Bの形成をさらに含む。一実施形態において、これも図示のように、複数のダミーゲート構造302の各々は、連設される誘電体側壁スペーサ214または216を有する。誘電体側壁スペーサ214または216は、この段階では本質的に同じものであってよいが、最終的には誘電体プラグの側壁スペーサ(側壁スペーサ214)またはゲート電極の側壁スペーサ(側壁216)となることを理解されたい。また、2つの端部ダミーゲート構造302の間には、1つよりも多くのダミーゲート構造302が含まれてよいことを理解されたい。
一実施形態において、ダミーゲート構造302は各々、タイトピッチ格子構造などの格子構造を形成する複数の平行ゲートラインのうちの1つのラインを形成する。1つのそのような実施形態において、従来のリソグラフィではタイトピッチを直接に実現することができない。例えば、従来のリソグラフィに基づくパターンがまず形成されてよいが、当技術分野において既知のように、スペーサマスクパターニングを用いてピッチが二分割されてよい。またさらに、2回目のスペーサマスクパターニングによって元のピッチが四分割されてよい。従って、格子状ゲートパターンは、一定のピッチで離隔され一定の幅を有する複数のライン302を有してよい。このパターンは、ピッチ二分割もしくはピッチ四分割、または他のピッチ分割のアプローチによって製造されてよい。図3Aに示す図は、フィン202の前方のダミーゲート構造を示すよう、フィン202のわずかに前方にあることを理解されたい。
図3Bを参照すると、フィン202の端部のダミーゲート構造302(例えば第1および第3の構造)が除去され、一方でフィン202の端部のダミーゲート構造302の間の(1または複数の)ダミーゲート構造302は保持される(例えば、中間の302が保持される)。一実施形態において、フィン202の端部のダミーゲート構造302を除去することで、それぞれトレンチ210Aおよび210Bが誘電体側壁スペーサ214と共に残る。特定の実施形態において、図3Bに図示するように、フィン202の端部のダミーゲート構造302を除去することで、半導体フィン202の端部部分202Bおよび202Cおよび上面202Aの部分が露出する。この構成は、最も外側のダミーゲート構造が半導体フィンの端部および上面の一部分の上に形成されるようにすることによって形成され、最終的には半導体フィンの端部および上面の一部分の上に誘電体プラグを設ける。別の実施形態(不図示)においては、フィン202の端部におけるダミーゲート構造302を除去することで、半導体フィン202の端部部分202Bおよび202Cのみが露出し、上面202Aの部分は露出しない。この構成は、最も外側のダミーゲート構造が半導体フィンの端部のみに形成されるようにすることによって形成され、最終的には半導体フィンの端部のみに誘電体プラグを設ける。
図3C〜図3Fを参照すると、一実施形態において、第1誘電体プラグ(図2の誘電体プラグ208Aなど)が第1トレンチ210A内に形成される。第2誘電体プラグ(図2の誘電体プラグ208Bなど)が第2トレンチ210B内に形成される。特定の例示的な誘電体プラグの構造およびその形成を、図3C〜図3Fに関連して例示する。図3C〜図3Fに示す図は、フィン202の前方の誘電体ライナー304を示すよう、フィン202のわずかに前方にあることを理解されたい。
図3Cを参照すると、誘電体ライナー304が、図3Bの構造と共形(conformal)に形成される。一実施形態において、誘電体ライナー304は、くびれ切れることなく、または継ぎ目を形成することなく、または閉鎖された空隙を形成することなく、トレンチ210Aおよび210Bの側壁に沿って形成される。さもなければ、そのいずれによっても、最終的にそこから形成される誘電体プラグからの応力伝達が低減するおそれがある。具体的実施形態において、誘電体ライナー304は、例えば化学気相成長(CVD)を用いて形成される、窒化シリコン膜である。よって、一実施形態において、誘電体プラグの形成は、第1トレンチ210Aおよび第2トレンチ210Bの側壁および底部に沿って第1誘電体材料304を形成することから始まる。
図3Dおよび3Eを参照すると、第2誘電体材料308が、第1トレンチ210Aおよび第2トレンチ210Bの側壁に沿った第1誘電体材料304の間に、および第1トレンチ210Aおよび第2トレンチ210Bの底部上の第1誘電体層304上に形成される。一実施形態において、第2誘電体材料308は、第1誘電体材料とは異なる。
1つの実施形態において、図3Dに図示するように、第2誘電体材料308は、まず第1トレンチおよび第2トレンチの側壁に沿って、および第1トレンチおよび第2トレンチの底部上の第1誘電体層上に酸化シリコン材料306を形成することによって形成される。特定の実施形態において、酸化シリコン材料306は、トレンチ210Aおよび210Bを空隙または継ぎ目なく完全に充填するよう流される流動性材料である。酸化シリコン材料は、最終的な架橋の前か後かに関わらず、堆積後に平坦化されてよい。次いで、酸化シリコン材料306を硬化させる。特定の実施形態において、図3Eに図示するように、酸化シリコン材料306は、硬化中に体積が縮小して第2誘電体材料308となる。1つのそのような実施形態において、硬化処理は蒸気硬化処理である。一実施形態において、得られた第2誘電体材料308は、体積が縮小すると、フィン202の端部202Bまたは202Cを引き付け、引張応力を誘起する。
図3Fを参照すると、次いで第3誘電体材料310が第2誘電体材料308上に形成される。一実施形態において、第3誘電体材料310は、層304の窒化シリコン材料に類似する第2の窒化シリコン材料であり、これは層304と同じ誘電体材料として参照されてもよい。1つのそのような実施形態において、そのような窒化シリコンキャッピング層が含まれることで、コンタクト開口エッチングなど後段のエッチングにおいて酸化物層308がエッチングされることを防ぐ。しかしながら、別の実施形態においては、第3誘電体材料310は層304に類似しない。
一実施形態において、図3Fの構造のさらなる加工には、例えば図2に関連して説明したような誘電体プラグ208Aおよび208Bを形成するべく、それぞれトレンチ210Aおよび210Bに材料層304、308および310を閉じ込めるための平坦化が含まれる。一実施形態において、図3Fの構造のまたさらなる加工には、第1誘電体プラグ208Aおよび第2誘電体プラグ208Bを形成した後に、複数のダミーゲート構造のうちの第2ダミーゲート構造(中間の302)を除去して、半導体フィン202の第1端部と第2端部との間に第3トレンチ210Cを形成することが含まれる。次いで、第3トレンチ210C内にパーマネントゲート電極が形成される。パーマネントゲート電極は、例えば半導体フィン202のチャネル領域を画定するように、半導体フィン202の上面202Aの一領域の上にかつ側壁対202Dの一領域に横方向に隣接して形成される。
上記の例示的加工スキームにより得られる構造、例えば図3Fの構造は、PMOSおよびNMOSのデバイス製造などのデバイス製造を完遂するための後続する加工工程においても、同じまたは類似の形態で用いられてよいことを理解されたい。デバイス完成品の一例として、図4Aおよび図4Bはそれぞれ、本発明の一実施形態に係るフィン端部応力誘起フィーチャを有する非プレーナ型半導体デバイスの断面図および(断面図のa−a'軸に沿って切った)平面図を例示する。
図4Aを参照すると、半導体構造またはデバイス400は、基板204から形成され、分離領域406内にある非平面形活性領域(例えば、フィン202を構成する突出フィン部分404およびフィン下部領域405を含むフィン構造)を含む。ゲート構造206は、非平面形活性領域の突出部分404の上に、また分離領域406の一部分の上に配される。図示のように、ゲート構造206は、ゲート電極450およびゲート誘電体層452を含む。1つの実施形態において、図示のように、ゲート構造206はまた誘電体キャップ層454を含む。これも図示のように、層間誘電体層212がゲート構造206を包囲してよい。
一実施形態において、フィン構造202は、タイトピッチ格子構造などの格子構造を形成する複数のフィンラインである。1つのそのような実施形態において、従来のリソグラフィではタイトピッチを直接に実現することができない。例えば、従来のリソグラフィに基づくパターンがまず形成されてよいが、当技術分野において既知のように、スペーサマスクパターニングを用いてピッチが二分割されてよい。またさらに、2回目のスペーサマスクパターニングによって元のピッチが四分割されてよい。従って、格子状フィンパターンは、一定のピッチで離隔され一定の幅を有する複数のラインを有してよい。このパターンは、ピッチ二分割もしくはピッチ四分割、または他のピッチ分割のアプローチによって製造されてよい。
改めて図4Aを参照すると、この透視図から、ゲートコンタクト414、および上層のゲートコンタクトビア416が、上層の金属配線460と共に見られ、これらは全て層間誘電体のスタックまたは(1または複数の)層470内に配される。これも図4Aの透視図から見られるが、1つの実施形態において、ゲートコンタクト414は分離領域306の上に配されるが、フィン202の非平面形活性領域404の上には配されない。これも図4Aに図示するように、フィン202の各々の突出フィン部分404およびフィン下部領域405のドーピングプロファイルの間には、界面480が存在する。界面480は、比較的急峻な遷移領域であり得る。
図4Bを参照すると、ゲート構造206は、突出フィン部分404の上に配されるものとして示されている。これも図4Bにおいて見られるが、誘電体プラグ208Aおよび208Bは、ゲート構造206の各側にあり、かつこれと平行である。誘電体プラグ208Aおよび208Bは、半導体フィン202の突出部分404の端部にある。図4Bの図中では、誘電体層212が省略されており、分離層/基板の符号406/204で示されていることを理解されたい。
改めて図4Bを参照すると、この透視図から、突出フィン部分404のソース・ドレイン領域404Aおよび404Bを見ることができる。1つの実施形態において、ソース・ドレイン領域404Aおよび404Bは、突出フィン部分404の元の材料をドーピングした部分である。別の実施形態においては、突出フィン部分404の材料が除去され、例えばエピタキシャル堆積により別の半導体材料と置き換えられて、埋め込みソース・ドレイン領域が形成される。いずれの場合であっても、ソース・ドレイン領域404Aおよび404Bは、誘電体層406の高さよりも下方に、すなわちフィン下部領域405内まで延びていてよい。本発明の一実施形態によれば、より大量にドーピングされるフィン下部領域、すなわち界面480の下方にあるフィンのドーピング部分によって、バルク半導体フィンのこの部分を通してのソースからドレインへのリークが抑制される。
一実施形態において、半導体構造またはデバイス400は、限定されるものではないがフィンFETまたはトライゲートデバイスなどの非プレーナ型デバイスである。そのような実施形態において、対応する半導電性チャネル領域は、三次元体から構成される、またはその内部に形成される。1つのそのような実施形態において、ゲート構造206は、少なくとも当該三次元体の上面および側壁対を包囲する。
基板204は、製造プロセスに耐え得る、かつ内部で電荷が移動することのできる半導体材料から構成されてよい。一実施形態において、基板204は、活性領域404を形成するべく、限定されるものではないがリン、ヒ素、ホウ素またはそれらの組み合わせなどの電荷キャリアがドーピングされた、結晶性シリコン、シリコン/ゲルマニウムまたはゲルマニウムの層から構成されるバルク基板である。1つの実施形態において、バルク基板204中のシリコン原子の濃度は、97%よりも大きい。別の実施形態において、バルク基板204は、別個の結晶性基板の上に成長させたエピタキシャル層、例えばホウ素をドーピングしたバルクシリコン単結晶基板の上に成長させたシリコンエピタキシャル層から構成される。バルク基板204は、代替的に、III−V族材料から構成されてもよい。一実施形態において、バルク基板204は、限定されるものではないが窒化ガリウム、リン化ガリウム、ヒ化ガリウム、リン化インジウム、アンチモン化インジウム、ヒ化インジウムガリウム、ヒ化アルミニウムガリウム、リン化インジウムガリウム、またはそれらの組み合わせなどのIII−V材料から構成される。1つの実施形態において、バルク基板204はIII−V材料から構成され、電荷キャリアドーパント不純物原子は、限定されるものではないが炭素、シリコン、ゲルマニウム、酸素、硫黄、セレンまたはテルルなどである。代替的に、構造400は、セミコンダクタ・オン・インシュレータ(SOI)基板から製造されてもよい。SOI基板は、下部バルク基板、中間部絶縁体層、および上部単結晶層を含む。一実施形態において、SOI基板は、ウェハ転写により形成される。一実施形態において、フィン202は、SOI基板の上部単結晶層から形成される。
誘電体プラグ208Aおよび208Bの材料は、上述のとおりであってよい。分離領域406は、最終的にパーマネントゲート構造を部分的に下層バルク基板から電気的に分離するもしくはその分離に寄与する、またはフィン活性領域を分離するなど下層バルク基板内に形成される活性領域を分離するのに好適な材料から構成されてよい。例えば、1つの実施形態において、分離領域406は、限定されるものではないが二酸化シリコン、酸窒化シリコン、窒化シリコン、炭素をドーピングした窒化シリコンなどの誘電体材料から構成される。
ゲート構造206は、ゲート誘電体層452およびゲート電極450を含むゲート電極スタックであってよい。一実施形態において、ゲート電極スタックのゲート電極450は金属ゲートから構成され、ゲート誘電体層452はhigh−K材料から構成される。例えば、1つの実施形態において、ゲート誘電体層は、限定されるものではないが酸化ハフニウム、酸窒化ハフニウム、ケイ酸ハフニウム、酸化ランタン、酸化ジルコニウム、ケイ酸ジルコニウム、酸化タンタル、チタン酸バリウムストロンチウム、チタン酸バリウム、チタン酸ストロンチウム、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウムタンタル、ニオブ酸鉛亜鉛、またはそれらの組み合わせなどの材料から構成される。さらに、ゲート誘電体層の一部分は、基板204の上部数層から形成された自然酸化物の層を含んでよい。一実施形態において、ゲート誘電体層452は、上部high−k部分と半導体材料の酸化物から構成された下部部分とから構成される。1つの実施形態において、ゲート誘電体層452は、酸化ハフニウムの上部部分と二酸化シリコンまたは酸窒化シリコンの底部部分とから構成される。一実施形態において、上部high−k部分は、基板の表面に実質的に平行な底部部分と、基板の上面に実質的に垂直な2つの側壁部分とを含む「U」字形構造からなる。
1つの実施形態において、ゲート電極450は、限定されるものではないが金属窒化物、金属炭化物、金属ケイ化物、金属アルミニウム化物、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、ルテニウム、パラジウム、白金、コバルト、ニッケルまたは導電性金属酸化物などの金属層から構成される。具体的実施形態において、ゲート電極450は、金属仕事関数設定層(metal workfunction−setting layer)の上方に形成された非仕事関数設定充填材料(non−workfunction−setting fill material)から構成される。いくつかの実装例において、ゲート電極は、基板の表面に実質的に平行な底部部分と、基板の上面に実質的に垂直な2つの側壁部分とを含む「U」字形構造からなってよい。別の実装例において、ゲート電極を形成する金属層のうちの少なくとも1つは単に、基板の上面に実質的に平行であり、基板の上面に実質的に垂直な側壁部分を含まない平坦な層であってよい。本発明のさらなる実装例において、ゲート電極は、U字形構造と平坦な非U字形構造との組み合わせからなってよい。例えば、ゲート電極は、1または複数の平坦な非U字形層の上に形成された1または複数のU字形金属層からなってよい。
ゲート構造206および/または誘電体プラグ208Aおよび208Bに連設されるスペーサは、最終的にパーマネントゲート構造をセルフアラインコンタクト(self−aligned contact)などの隣接する導電コンタクトから電気的に分離する、またはその分離に寄与するのに好適な材料から構成されてよい。例えば、1つの実施形態において、スペーサは、限定されるものではないが二酸化シリコン、酸窒化シリコン、窒化シリコン、炭素をドーピングした窒化シリコンなどの誘電体材料から構成される。
ゲートコンタクト414ならびに上層のゲートコンタクトビア416および配線460は、導電性材料から構成されてよい。一実施形態において、コンタクトまたはビアのうちの1または複数は、金属種から構成される。金属種は、タングステン、ニッケル、またはコバルトなどの純金属であってもよく、あるいは金属間合金または金属−半導体合金(例えばケイ化物材料など)などの合金であってもよい。一般的な例としてはタングステンまたは銅構造の使用があり、当該構造は、タングステンまたは銅とその周囲のILD材料との間にバリア層(TaまたはTaNの層など)を含んでもよく、または含まなくてもよい。本明細書で用いる場合、金属という用語は、複数の金属の合金、スタック、および他の組み合わせを含む。例えば、金属配線ラインは、バリア層、複数の異なる金属または合金のスタック等を含んでよい。
一実施形態(不図示)において、構造400の提供は、位置決めバジェットの非常に厳しいリソグラフィ段階を用いることなく、既存のゲートパターンと本質的に完全に位置合わせされたコンタクトパターンを形成することを伴う。1つのそのような実施形態において、このアプローチにより、コンタクト開口を生成するために、(例えば従来行われるドライエッチングまたはプラズマエッチングに対して)本来的に選択性の高いウェットエッチングを用いることが可能となる。一実施形態において、既存のゲートパターンをコンタクトプラグのリソグラフィ工程と組み合わせて利用することにより、コンタクトパターンが形成される。1つのそのような実施形態において、そのアプローチにより、コンタクトパターンを生成するために、従来のアプローチで用いられるような、元来ならばクリティカルなリソグラフィ工程を不要とすることが可能となる。一実施形態において、トレンチコンタクトグリッドは、別個にパターニングされるのではなく、ポリ(ゲート)ライン間に形成される。例えば、1つのそのような実施形態において、トレンチコンタクトグリッドは、ゲート格子パターニングの後であるがゲート格子カットの前に形成される。
さらに、上述のとおり、ゲート構造206は、置換ゲートプロセスにより製造されてよい。そのようなスキームにおいて、ポリシリコンまたは窒化シリコンのピラー材料などのダミーゲート材料が除去され、パーマネントゲート電極材料で置き換えられてよい。1つのそのような実施形態において、パーマネントゲート誘電体層は、事前に加工したものを流用するのではなく、共にこのプロセスで形成される。一実施形態において、ダミーゲートは、ドライエッチングまたはウェットエッチングのプロセスにより除去される。1つの実施形態において、ダミーゲートは、多結晶シリコンまたは非晶質シリコンから構成され、SFの使用を含むドライエッチングプロセスで除去される。別の実施形態において、ダミーゲートは、多結晶シリコンまたは非晶質シリコンから構成され、水溶液のNHOHまたは水酸化テトラメチルアンモニウムの使用を含むウェットエッチングプロセスで除去される。1つの実施形態において、ダミーゲートは、窒化シリコンから構成され、リン酸水溶液を含むウェットエッチングで除去される。
一実施形態において、本明細書に記載の1または複数のアプローチは、構造400に到達するべく、本質的にはダミーおよび置換ゲートプロセスをダミーおよび置換コンタクトプロセスと組み合わせたものを考える。1つのそのような実施形態において、パーマネントゲートスタックの少なくとも一部分の高温アニールを可能とするべく、置換ゲートプロセスの後に置換コンタクトプロセスが行われる。例えば、そのような具体的実施形態において、例えばゲート誘電体層が形成された後の、パーマネントゲート構造の少なくとも一部分のアニールは、摂氏約600度よりも高い温度で行われる。このアニールは、パーマネントコンタクトの形成前に行われる。
改めて図4Aを参照すると、半導体構造またはデバイス400の構成は、ゲートコンタクトを分離領域の上、例えば領域406の上に配置する。そのような構成は、場合によって、レイアウトスペースを非効率に使用するものと見なされ得る。しかしながら、別の実施形態において、半導体デバイスは、活性領域の上に形成されたゲート電極の部分のコンタクトとなるコンタクト構造を有する。一般に、本発明の1または複数の実施形態は、ゲートコンタクト構造(ビアなど)をゲートの活性部分の上およびトレンチコンタクトビアと同じ層内に形成する前に(例えばそれに加えて)、まずゲートアライントレンチコンタクト(gate aligned trench contact)プロセスを用いることを含む。そのようなプロセスは、半導体構造の製造、例えば集積回路の製造のためのトレンチコンタクト構造を形成するために行われてよい。一実施形態において、トレンチコンタクトパターンが既存のゲートパターンと位置合わせして形成される。対照的に、従来のアプローチは通常、選択的コンタクトエッチングと組み合わせた、リソグラフィコンタクトパターンを既存のゲートパターンに対して厳しく位置決めする追加的なリソグラフィプロセスを伴う。例えば、従来のプロセスは、コンタクトフィーチャを別個にパターニングしつつ、ポリ(ゲート)グリッドをパターニングすることを含む場合がある。
別の態様において、個々の誘電体プラグの深さは、半導体構造内で、または共通の基板上に形成されるアーキテクチャ内で異なっていてよい。一例として、図5は、本発明の別の実施形態に係るフィン端部応力誘起フィーチャを有する別の半導体構造の断面図を例示する。図5を参照すると、浅い誘電体プラグ208Cが、一対の深い誘電体プラグ208D/208Eと共に含まれる。1つのそのような実施形態において、図示のように、浅い誘電体プラグ208Cは、基板204内において半導体フィン202の深さとほぼ等しい深さにあり、一方で一対の深い誘電体プラグ208D/208Eは、基板204内において半導体フィン202の深さよりも下方の深さにある。
改めて図5を参照すると、そのような構成は、隣接するフィン202間の分離を提供するために基板204内のより深くまでエッチングされたトレンチにおける、フィントリムアイソレーション(fin trim isolation)(FTI)デバイスへの応力増幅を可能とし得る。そのようなアプローチは、チップ上におけるトランジスタ密度を大きくするために行われてよい。一実施形態において、フィンとトランジスタの下の基板/ウェルとの両方で応力伝達が起こるため、プラグ充填によりトランジスタに誘起される応力効果がFTIトランジスタにおいて強められる。
別の態様において、誘電体プラグに含まれる引張応力誘起酸化物層308の幅または量は、例えばデバイスがPMOSデバイスであるかNMOSデバイスであるかに応じて、半導体構造内で、または共通の基板上に形成されるアーキテクチャ内で異なっていてよい。一例として、図6は、本発明の別の実施形態に係るフィン端部応力誘起フィーチャを有する別の半導体構造の断面図を例示する。図6を参照すると、特定の実施形態において、NMOSデバイスは、対応するPMOSデバイスよりも引張応力誘起酸化物層308を比較的多く含む。
改めて図6を参照すると、一実施形態において、NMOSおよびPMOSに適当な応力を誘起するよう、異なったプラグ充填が行われる。NMOSデバイスおよびPMOSデバイスにおいて異なる応力を誘起するように、プラグ充填物がパターニングされてよい。例えば、リソグラフィパターニングを用いてPMOSデバイスを広く開口して(例えばPMOSデバイス用の誘電体プラグトレンチを広げて)よく、このとき、N/PMOSデバイスにおけるプラグ充填が異なったものとなるよう、異なる充填オプションを行うことができる。例示的実施形態において、PMOSデバイス上のプラグ内における流動性酸化物の体積を低減することで、誘起される引張応力を低減することができる。1つのそのような実施形態において、例えば圧縮応力を印加するソース・ドレイン領域からの圧縮応力が支配的であってよい。他の実施形態において、異なるプラグライナーまたは異なる充填材料を用いることで、調整可能な応力制御が実現する。
上述のとおり、ポリプラグの応力作用により、NMOSトランジスタ(例えば引張チャネル応力)およびPMOSトランジスタ(例えば圧縮チャネル応力)の両方で利点をもたらすことができることを理解されたい。本発明の一実施形態によれば、半導体構造200または400の半導体フィン202は、単軸応力が印加される半導体フィンである。単軸応力が印加される半導体フィンには、引張応力または圧縮応力による単軸応力が印加されてよい。例えば、本発明の1または複数の実施形態に係るものとして、図7は引張単軸応力を有するフィンの斜視図を例示し、一方で図8は圧縮単軸応力を有するフィンの斜視図を例示する。
図7を参照すると、半導体フィン700には、離散チャネル領域(C)が配される。ソース領域(S)およびドレイン領域(D)が、チャネル領域(C)の各側において半導体フィン700に配される。半導体フィン700の離散チャネル領域は、ソース領域(S)からドレイン領域(D)への、単軸引張応力(互いに離れる向きの矢印)の方向に沿った電流方向を有する。
図8を参照すると、半導体フィン800には、離散チャネル領域(C)が配される。ソース領域(S)およびドレイン領域(D)が、チャネル領域(C)の各側において半導体フィン800に配される。半導体フィン800の離散チャネル領域は、ソース領域(S)からドレイン領域(D)への、単軸圧縮応力(互いに向き合う矢印)の方向に沿った電流方向を有する。
従って、本明細書に記載の実施形態は、トランジスタの移動度および駆動電流を向上させるために実装されてよく、回路およびチップの高速動作を可能とする。透過電子顕微鏡写真(TEM)のサンプルに基づく応力測定により、上述の誘電体プラグプロセスによるチャネル応力の変化が示される。
例えば、図9Aは、本明細書に記載の実施形態に係る誘電体プラグを含まないフィンの上部40ナノメートルにわたる[110]面に沿った平均チャネル応力を示すプロット900を含み、一方で図9Bは、本明細書に記載の実施形態に係る誘電体プラグを含むフィンの上部40ナノメートルにわたる[110]面に沿った平均チャネル応力を示すプロット950を含む。プロット900は約0.1%の平均応力損失を示し、一方でプロット950は約0.3%の平均応力増加を示す。
本明細書に記載の層および材料は、本開示の全体にわたり、通常は下層の半導体基板または構造上にまたはその上方に形成されることを理解されたい。一実施形態において、下層の半導体基板は、集積回路の製造に用いられる一般的な加工対象物を表す。半導体基板は、多くの場合、シリコンまたは別の半導体材料のウェハまたは他の部品を含む。好適な半導体基板には、限定されるものではないが単結晶シリコン、多結晶シリコンおよびシリコン・オン・インシュレータ(SOI)、ならびにゲルマニウム系材料またはIII−V族材料などの他の半導体材料で形成される類似の基板が含まれる。基板はまた、半導体材料、金属、誘電体、ドーパント、および半導体基板において一般的に見られる他の材料を含んでもよい。
一実施形態において、本明細書の全体にわたり、層間誘電体(ILD)層212および/または470の材料などのILD材料は、誘電体または絶縁材料の層から構成される、またはこれを含む。好適な誘電体材料の例には、限定されるものではないがシリコン酸化物(例えば二酸化シリコン(SiO))、ドーピングしたシリコン酸化物、フッ化シリコン酸化物、炭素をドーピングしたシリコン酸化物、当技術分野において既知の様々なlow−k誘電体材料、およびそれらの組み合わせが含まれる。層間誘電体材料は、例えば化学気相成長(CVD)、物理気相成長(PVD)などの従来技法、または他の堆積方法によって形成されてよい。
一実施形態において、これも本明細書の全体にわたり、金属ラインまたは配線ライン材料(およびビア材料)は、1または複数の金属または他の導電性構造から構成される。一般的な例としては、銅とその周囲のILD材料との間にバリア層を含んでもよくまたは含まなくてよい銅のラインおよび構造の使用がある。本明細書で用いる場合、金属という用語は、複数の金属の合金、スタック、および他の組み合わせを含む。例えば、金属配線ラインは、バリア層(例えばTa、TaN、TiまたはTiNのうちの1または複数を含む層)、複数の異なる金属または合金のスタック等を含んでよい。よって、配線ラインは、単一材料の層であってもよく、または導電性のライナー層および充填層を含む複数の層から形成されてもよい。配線ラインの形成には、電気めっき、化学気相成長または物理気相成長などの任意の好適な堆積プロセスが用いられてよい。一実施形態において、配線ラインは、限定されるものではないがCu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Auまたはそれらの合金などの導電性材料から構成される。当技術分野においては、配線ラインがトレース、ワイヤ、ライン、メタル、または単に配線と称されることもある。
一実施形態において、これも本明細書の全体にわたり、ハードマスク材料、キャッピング層、またはプラグは、層間誘電体材料とは異なる誘電体材料から構成される。1つの実施形態において、異なる領域においては、異なる成長度またはエッチング選択性を互いにまた下層の誘電体および金属の層に提供するよう、異なるハードマスク、キャッピングまたはプラグの材料が用いられてよい。いくつかの実施形態において、ハードマスク層、キャッピングまたはプラグ層は、シリコン窒化物(例えば窒化シリコン)の層もしくはシリコン酸化物の層、もしくはその両方、またはそれらの組み合わせを含む。他の好適な材料には、炭素系材料が含まれてよい。特定の実装例に応じて、当技術分野において既知の他のハードマスク、キャッピングまたはプラグ層が用いられてよい。ハードマスク、キャッピングまたはプラグ層は、CVD、PVD、または他の堆積方法によって形成されてよい。
一実施形態において、これも本明細書の全体にわたり、リソグラフィ工程は、193nm浸漬リソ(i193)、EUVおよび/またはEBDWリソグラフィ等を用いて行われる。ポジ型またはネガ型のレジストが用いられてよい。1つの実施形態において、リソグラフィマスクは、トポグラフィックマスキング部分、反射防止コーティング(ARC)層、およびフォトレジスト層から構成される三層マスクである。特定のそのような実施形態において、トポグラフィックマスキング部分は炭素ハードマスク(CHM)層であり、反射防止コーティング層はシリコンARC層である。
本明細書に開示の実施形態は、多種多様な異なるタイプの集積回路および/またはマイクロ電子デバイスを製造するために用いられてよい。そのような集積回路の例には、限定されるものではないがプロセッサ、チップセットコンポーネント、グラフィクスプロセッサ、デジタルシグナルプロセッサ、およびマイクロコントローラ等が含まれる。他の実施形態においては、半導体メモリが製造されてもよい。また、集積回路または他のマイクロ電子デバイスは、当技術分野において既知の多種多様な電子デバイスにおいて用いられてよい。例えば、コンピュータシステム(例えばデスクトップ、ラップトップ、サーバ)、携帯電話、個人用電子機器等である。集積回路は、バスおよびシステム内の他のコンポーネントと結合されてよい。例えば、プロセッサは、1または複数のバスにより、メモリ、チップセット等と結合されてよい。プロセッサ、メモリ、およびチップセットの各々は、潜在的に、本明細書で開示のアプローチを用いて製造されてよい。
図10は、本発明の一実施形態の1つの実装例に係るコンピューティングデバイス1000を例示する。コンピューティングデバイス1000は、ボード1002を収容する。ボード1002は、限定されるものではないがプロセッサ1004および少なくとも1つの通信チップ1006を含む多数のコンポーネントを含んでよい。プロセッサ1004は、ボード1002に物理的かつ電気的に結合される。いくつかの実装例において、少なくとも1つの通信チップ1006も、ボード1002に物理的かつ電気的に結合される。さらなる実装例において、通信チップ1006は、プロセッサ1004の一部である。
アプリケーションに応じて、コンピューティングデバイス1000は、ボード1002に物理的かつ電気的に結合されてもされなくてもよい他のコンポーネントを含んでよい。これら他のコンポーネントには、限定されるものではないが揮発性メモリ(例えばDRAM)、不揮発性メモリ(例えばROM)、フラッシュメモリ、グラフィクスプロセッサ、デジタルシグナルプロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、電力増幅器、全地球測位システム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、および大容量記憶デバイス(ハードディスクドライブ、コンパクトディスク(CD)、およびデジタル多用途ディスク(DVD)など)が含まれる。
通信チップ1006は、コンピューティングデバイス1000との間におけるデータ伝達のための無線通信を可能にする。「無線」という用語およびその派生語は、非固体媒体を介した変調電磁放射を用いてデータを通信し得る回路、デバイス、システム、方法、技法、通信チャネル等を説明するために用いられてよい。この用語は、関連付けられる複数のデバイスが、いかなる導線も含まないことを示唆するものではないが、いくつかの実施形態においては含まないこともある。通信チップ1006は、限定されるものではないがWi‐Fi(IEEE802.11ファミリ)、WiMAX(IEEE802.16ファミリ)、IEEE802.20、ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、Bluetooth(登録商標)、それらの派生物、ならびに3G、4G、5Gおよびそれ以降の世代として指定される任意の他の無線プロトコルを含む多数の無線規格またはプロトコルのいずれかを実装してよい。コンピューティングデバイス1000は、複数の通信チップ1006を含んでよい。例えば、第1通信チップ1006は、Wi−FiおよびBluetooth(登録商標)などの短距離無線通信に専用であってよく、第2通信チップ1006は、GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev−DO、およびその他のものなどの長距離無線通信に専用であってよい。
コンピューティングデバイス1000のプロセッサ1004は、プロセッサ1004内にパッケージ化された集積回路ダイを含む。プロセッサ1004の集積回路ダイは、本発明の実施形態の実装例に従って構築されたフィン端部応力誘起フィーチャを有する半導体デバイスなどの1または複数の構造を含んでよい。「プロセッサ」という用語は、レジスタおよび/またはメモリからの電子データを処理し、その電子データをレジスタおよび/またはメモリに格納され得る他の電子データに変換する任意のデバイスまたはデバイスの部分を指してよい。
通信チップ1006もまた、通信チップ1006内にパッケージ化された集積回路ダイを含む。通信チップ1006の集積回路ダイは、本発明の実施形態の実装例に従って構築されたフィン端部応力誘起フィーチャを有する半導体デバイスなどの1または複数の構造を含んでよい。
さらなる実装例においては、コンピューティングデバイス1000内に収容される別のコンポーネントが、本発明の実施形態の実装例に従って構築されたフィン端部応力誘起フィーチャを有する半導体デバイスなどの1または複数の構造を含む集積回路ダイを含んでよい。
様々な実装例において、コンピューティングデバイス1000は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、パーソナルデジタルアシスタント(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテイメントコントロールユニット、デジタルカメラ、携帯音楽プレーヤ、またはデジタルビデオレコーダであってよい。さらなる実装例において、コンピューティングデバイス1000は、データを処理する任意の他の電子デバイスであってよい。
図11は、本発明の1または複数の実施形態を含むインターポーザ1100を例示する。インターポーザ1100は、第1基板1102を第2基板1104へブリッジするために用いられる介在基板である。第1基板1102は、例えば集積回路ダイであってよい。第2基板1104は、例えばメモリモジュール、コンピュータマザーボード、または別の集積回路ダイであってよい。一般に、インターポーザ1100の目的は、接続部をより幅広いピッチに広げること、または接続部を異なる接続部にリルートすることである。例えば、インターポーザ1100は、後に第2基板1104に結合され得るボールグリッドアレイ(BGA)1106に集積回路ダイを結合してよい。いくつかの実施形態において、第1基板および第2基板1102/1104は、インターポーザ1100の対向し合う側に取り付けられる。他の実施形態において、第1基板および第2基板1102/1104は、インターポーザ1100の同じ側に取り付けられる。さらなる実施形態において、3つまたはそれよりも多くの基板がインターポーザ1100を介して相互接続される。
インターポーザ1100は、エポキシ樹脂、繊維ガラス強化エポキシ樹脂、セラミック材料、またはポリイミドなどの高分子材料で形成されてよい。さらなる実装例において、インターポーザは、シリコン、ゲルマニウム、ならびに他のIII−V族およびIV族の材料などの、半導体基板において用いるための上述の材料と同じ材料を含み得る、代替的な剛性または可撓性のある材料で形成されてよい。
インターポーザは、金属配線1108、および限定されるものではないがシリコン貫通ビア(TSV)1112を含むビア1110を含んでよい。インターポーザ1100は、受動デバイスおよび能動デバイスの両方を含む埋め込みデバイス1114をさらに含んでよい。そのようなデバイスには、限定されるものではないがコンデンサ、デカップリングコンデンサ、抵抗器、インダクタ、ヒューズ、ダイオード、変圧器、センサ、および静電放電(ESD)デバイスが含まれる。無線周波数(RF)デバイス、電力増幅器、電力管理デバイス、アンテナ、アレイ、センサ、およびMEMSデバイスなどのより複雑なデバイスも、インターポーザ1100上に形成されてよい。本発明の実施形態によれば、本明細書に開示の装置またはプロセスは、インターポーザ1100の製造またはインターポーザ1100に含まれるコンポーネントの製造において用いられてよい。
よって、本発明の実施形態は、フィン端部応力誘起フィーチャを有する半導体デバイス、およびフィン端部応力誘起フィーチャを有する半導体デバイスの製造方法を含む。
例示的実施形態1:半導体構造は、基板の上方においてトレンチ分離領域を貫通して突出する半導体フィンを備える。半導体フィンは、上面、第1端部、第2端部、および第1端部と第2端部との間の側壁対を有する。ゲート電極が、半導体フィンの、上面の一領域の上にあり、かつ側壁対の一領域に横方向に隣接する。ゲート電極は、半導体フィンの第1端部と第2端部との間にある。第1誘電体プラグが、半導体フィンの第1端部にある。第2誘電体プラグが、半導体フィンの第2端部にある。第1誘電体プラグおよび第2誘電体プラグは各々、第1誘電体材料を含み、第1誘電体材料は、第1誘電体材料とは異なる第2誘電体材料の横方向の周囲および下方にある。
例示的実施形態2:第1誘電体材料は窒化シリコンであり、第2誘電体材料は酸化シリコンである、例示的実施形態1の半導体構造。
例示的実施形態3:第1誘電体材料はさらに、第2誘電体材料の上にある、例示的実施形態1または2の半導体構造。
例示的実施形態4:第1誘電体プラグおよび第2誘電体プラグは各々、第2誘電体材料の上および第1誘電体材料の複数の部分の間にある第3誘電体材料であって、第1誘電体材料および第2誘電体材料とは異なる第3誘電体材料をさらに含む、例示的実施形態1または2の半導体構造。
例示的実施形態5:第1誘電体プラグおよび第2誘電体プラグは各々、層間誘電体層に配された対応するトレンチ内に配される、例示的実施形態1、2、3または4の半導体構造。
例示的実施形態6:各対応するトレンチは、誘電体側壁スペーサを含む、例示的実施形態5の半導体構造。
例示的実施形態7:半導体フィンの第1端部においてゲート電極と第1誘電体プラグとの間にある第1ソース/ドレイン領域と、半導体フィンの第2端部においてゲート電極と第2誘電体プラグとの間にある第2ソース/ドレイン領域とをさらに備える、例示的実施形態1、2、3、4、5または6の半導体構造。
例示的実施形態8:第1ソース/ドレイン領域および第2ソース/ドレイン領域は、半導体フィンとは異なる半導体材料を含む埋め込みソース/ドレイン領域である、例示的実施形態7の半導体構造。
例示的実施形態9:第1誘電体プラグおよび第2誘電体プラグの両方に空隙が無い、例示的実施形態1、2、3、4、5、6、7または8の半導体構造。
例示的実施形態10:第1誘電体プラグおよび第2誘電体プラグの一方または両方は、半導体フィンよりも基板内の深くにある、例示的実施形態1、2、3、4、5、6、7、8または9の半導体構造。
例示的実施形態11:半導体フィンの上面の一領域および側壁対の一領域は、N型半導体デバイスのチャネル領域を画定し、第1誘電体プラグおよび第2誘電体プラグは、チャネル領域に単軸引張応力を誘起する、例示的実施形態1、2、3、4、5、6、7、8、9または10の半導体構造。
例示的実施形態12:半導体フィンの上面の一領域および側壁対の一領域は、P型半導体デバイスのチャネル領域を画定し、第1誘電体プラグおよび第2誘電体プラグは、チャネル領域に単軸圧縮応力を誘起する、例示的実施形態1、2、3、4、5、6、7、8、9または10の半導体構造。
例示的実施形態13:半導体構造は、基板の上方においてトレンチ分離領域を貫通して突出する半導体フィンを備える。半導体フィンは、上面、第1端部、第2端部、および第1端部と第2端部との間の側壁対を有する。ゲート電極が、半導体フィンの、上面の一領域の上にあり、かつ側壁対の一領域に横方向に隣接する。ゲート電極は、半導体フィンの第1端部と第2端部との間にある。第1誘電体プラグが、半導体フィンの第1端部にある。第2誘電体プラグが、半導体フィンの第2端部にある。第1誘電体プラグおよび第2誘電体プラグの両方に、空隙が無い。
例示的実施形態14:第1誘電体プラグおよび第2誘電体プラグは各々、層間誘電体層に配された対応するトレンチ内に配される、例示的実施形態13の半導体構造。
例示的実施形態15:各対応するトレンチは、誘電体側壁スペーサを含む、例示的実施形態14の半導体構造。
例示的実施形態16:半導体フィンの第1端部においてゲート電極と第1誘電体プラグとの間にある第1ソース/ドレイン領域と、半導体フィンの第2端部においてゲート電極と第2誘電体プラグとの間にある第2ソース/ドレイン領域とをさらに備える、例示的実施形態13、14または15の半導体構造。
例示的実施形態17:第1ソース/ドレイン領域および第2ソース/ドレイン領域は、半導体フィンとは異なる半導体材料を含む埋め込みソース/ドレイン領域である、例示的実施形態16の半導体構造。
例示的実施形態18:第1誘電体プラグおよび第2誘電体プラグの一方または両方は、半導体フィンよりも基板内の深くにある、例示的実施形態13、14、15、16または17の半導体構造。
例示的実施形態19:半導体フィンの上面の一領域および側壁対の一領域は、N型半導体デバイスのチャネル領域を画定し、第1誘電体プラグおよび第2誘電体プラグは、チャネル領域に単軸引張応力を誘起する、例示的実施形態13、14、15、16、17または18の半導体構造。
例示的実施形態20:半導体フィンの上面の一領域および側壁対の一領域は、P型半導体デバイスのチャネル領域を画定し、第1誘電体プラグおよび第2誘電体プラグは、チャネル領域に単軸圧縮応力を誘起する、例示的実施形態13、14、15、16、17または18の半導体構造。
例示的実施形態21:半導体構造の製造方法は、基板の上方においてトレンチ分離領域を貫通して突出する半導体フィンを形成する段階を備える。半導体フィンは、上面、第1端部、第2端部、および第1端部と第2端部との間の側壁対を有する。複数のダミーゲート構造が、半導体フィンの上方に形成され、層間誘電体(ILD)層によって互いに隔てられる。複数のダミーゲート構造のうちの第1ダミーゲート構造は、半導体フィンの第1端部にある。複数のダミーゲート構造のうちの第2ダミーゲート構造は、半導体フィンの、上面の一領域の上にあり、かつ側壁対の一領域に横方向に隣接する。複数のダミーゲート構造のうちの第3ダミーゲート構造は、半導体フィンの第2端部にある。複数のダミーゲート構造のうちの第2ダミーゲート構造以外の、複数のダミーゲート構造のうちの第1ダミーゲート構造および第3ダミーゲート構造が除去される。複数のダミーゲート構造のうちの第1ダミーゲート構造および第3ダミーゲート構造を除去することにより、半導体フィンの第1端部においてILD層に第1トレンチを形成し、半導体フィンの第2端部においてILD層に第2トレンチを形成する。第1トレンチ内に第1誘電体プラグが、および第2トレンチ内に第2誘電体プラグが形成される。第1誘電体プラグおよび第2誘電体プラグを形成する段階は、第1トレンチおよび第2トレンチの側壁および底部に沿って第1誘電体材料を形成する段階と、第1トレンチおよび第2トレンチの側壁に沿った第1誘電体材料の間、および第1トレンチおよび第2トレンチの底部上の第1誘電体層上に第2誘電体材料を形成する段階とを含む。第2誘電体材料は、第1誘電体材料とは異なる。
例示的実施形態22:複数のダミーゲート構造のうちの第1ダミーゲート構造は、半導体フィンの第1端部の一部分および上面の第1部分の上に形成され、複数のダミーゲート構造のうちの第3ダミーゲート構造は、半導体フィンの第2端部の一部分および上面の第2部分の上に形成される、例示的実施形態21の方法。
例示的実施形態23:第1誘電体プラグおよび第2誘電体プラグを形成する段階の後に、複数のダミーゲート構造のうちの第2ダミーゲート構造を除去して、半導体フィンの第1端部と第2端部との間に第3トレンチを形成する段階と、第3トレンチ内に、パーマネントゲート電極であって、半導体フィンの上面の一領域の上にありかつ側壁対の一領域に横方向に隣接するパーマネントゲート電極を形成する段階とをさらに備える、例示的実施形態21または22の方法。
例示的実施形態24:第1誘電体プラグおよび第2誘電体プラグの第2誘電体材料を形成する段階は、第1トレンチおよび第2トレンチ内、および第1トレンチおよび第2トレンチの底部上の第1誘電体層上に、流動性二酸化シリコン前駆体を堆積させる段階と、流動性二酸化シリコン前駆体を二酸化シリコンに転換する段階と、酸化シリコン材料を硬化させて酸化シリコン材料の体積を低減する段階とを含む、例示的実施形態21、22または23の方法。
例示的実施形態25:第1誘電体プラグおよび第2誘電体プラグを形成する段階は、第2誘電体材料上に第3誘電体材料を形成する段階をさらに含む、例示的実施形態21、22、23または24の方法。

Claims (25)

  1. 半導体構造であって、
    基板の上方においてトレンチ分離領域を貫通して突出する半導体フィンであって、上面、第1端部、第2端部、および前記第1端部と前記第2端部との間の側壁対を有する半導体フィンと、
    前記半導体フィンの、前記上面の一領域の上にあり、かつ前記側壁対の一領域に横方向に隣接するゲート電極であって、前記半導体フィンの前記第1端部と前記第2端部との間にあるゲート電極と、
    前記半導体フィンの前記第1端部における第1誘電体プラグと、
    前記半導体フィンの前記第2端部における第2誘電体プラグと
    を備え、
    前記第1誘電体プラグおよび前記第2誘電体プラグは各々、第1誘電体材料を含み、前記第1誘電体材料は、前記第1誘電体材料とは異なる第2誘電体材料の横方向の周囲および下方にある、
    半導体構造。
  2. 前記第1誘電体材料は窒化シリコンであり、前記第2誘電体材料は酸化シリコンである、請求項1に記載の半導体構造。
  3. 前記第1誘電体材料はさらに、前記第2誘電体材料の上にある、請求項1または2に記載の半導体構造。
  4. 前記第1誘電体プラグおよび前記第2誘電体プラグは各々、前記第2誘電体材料の上および前記第1誘電体材料の複数の部分の間にある第3誘電体材料であって、前記第1誘電体材料および前記第2誘電体材料とは異なる第3誘電体材料をさらに含む、請求項1または2に記載の半導体構造。
  5. 前記第1誘電体プラグおよび前記第2誘電体プラグは各々、層間誘電体層に配された対応するトレンチ内に配される、請求項1から4のいずれか一項に記載の半導体構造。
  6. 各対応するトレンチは、誘電体側壁スペーサを含む、請求項5に記載の半導体構造。
  7. 前記半導体フィンの前記第1端部において前記ゲート電極と前記第1誘電体プラグとの間にある第1ソース/ドレイン領域と、
    前記半導体フィンの前記第2端部において前記ゲート電極と前記第2誘電体プラグとの間にある第2ソース/ドレイン領域と
    をさらに備える、請求項1から6のいずれか一項に記載の半導体構造。
  8. 前記第1ソース/ドレイン領域および前記第2ソース/ドレイン領域は、前記半導体フィンとは異なる半導体材料を含む埋め込みソース/ドレイン領域である、請求項7に記載の半導体構造。
  9. 前記第1誘電体プラグおよび前記第2誘電体プラグの両方に空隙が無い、請求項1から8のいずれか一項に記載の半導体構造。
  10. 前記第1誘電体プラグおよび前記第2誘電体プラグの一方または両方は、前記半導体フィンよりも前記基板内の深くにある、請求項1から9のいずれか一項に記載の半導体構造。
  11. 前記半導体フィンの前記上面の前記一領域および前記側壁対の前記一領域は、N型半導体デバイスのチャネル領域を画定し、前記第1誘電体プラグおよび前記第2誘電体プラグは、前記チャネル領域に単軸引張応力を誘起する、請求項1から10のいずれか一項に記載の半導体構造。
  12. 前記半導体フィンの前記上面の前記一領域および前記側壁対の前記一領域は、P型半導体デバイスのチャネル領域を画定し、前記第1誘電体プラグおよび前記第2誘電体プラグは、前記チャネル領域に単軸圧縮応力を誘起する、請求項1から10のいずれか一項に記載の半導体構造。
  13. 半導体構造であって、
    基板の上方においてトレンチ分離領域を貫通して突出する半導体フィンであって、上面、第1端部、第2端部、および前記第1端部と前記第2端部との間の側壁対を有する半導体フィンと、
    前記半導体フィンの、前記上面の一領域の上にあり、かつ前記側壁対の一領域に横方向に隣接するゲート電極であって、前記半導体フィンの前記第1端部と前記第2端部との間にあるゲート電極と、
    前記半導体フィンの前記第1端部における第1誘電体プラグと、
    前記半導体フィンの前記第2端部における第2誘電体プラグと
    を備え、
    前記第1誘電体プラグおよび前記第2誘電体プラグの両方に空隙が無い、
    半導体構造。
  14. 前記第1誘電体プラグおよび前記第2誘電体プラグは各々、層間誘電体層に配された対応するトレンチ内に配される、請求項13に記載の半導体構造。
  15. 各対応するトレンチは、誘電体側壁スペーサを含む、請求項14に記載の半導体構造。
  16. 前記半導体フィンの前記第1端部において前記ゲート電極と前記第1誘電体プラグとの間にある第1ソース/ドレイン領域と、
    前記半導体フィンの前記第2端部において前記ゲート電極と前記第2誘電体プラグとの間にある第2ソース/ドレイン領域と
    をさらに備える、請求項13から15のいずれか一項に記載の半導体構造。
  17. 前記第1ソース/ドレイン領域および前記第2ソース/ドレイン領域は、前記半導体フィンとは異なる半導体材料を含む埋め込みソース/ドレイン領域である、請求項16に記載の半導体構造。
  18. 前記第1誘電体プラグおよび前記第2誘電体プラグの一方または両方は、前記半導体フィンよりも前記基板内の深くにある、請求項13から17のいずれか一項に記載の半導体構造。
  19. 前記半導体フィンの前記上面の前記一領域および前記側壁対の前記一領域は、N型半導体デバイスのチャネル領域を画定し、前記第1誘電体プラグおよび前記第2誘電体プラグは、前記チャネル領域に単軸引張応力を誘起する、請求項13から18のいずれか一項に記載の半導体構造。
  20. 前記半導体フィンの前記上面の前記一領域および前記側壁対の前記一領域は、P型半導体デバイスのチャネル領域を画定し、前記第1誘電体プラグおよび前記第2誘電体プラグは、前記チャネル領域に単軸圧縮応力を誘起する、請求項13から18のいずれか一項に記載の半導体構造。
  21. 半導体構造の製造方法であって、
    基板の上方においてトレンチ分離領域を貫通して突出する半導体フィンであって、上面、第1端部、第2端部、および前記第1端部と前記第2端部との間の側壁対を有する半導体フィンを形成する段階と、
    前記半導体フィンの上方にあり、層間誘電体(ILD)層によって互いに隔てられる複数のダミーゲート構造であって、前記複数のダミーゲート構造のうちの第1ダミーゲート構造は、前記半導体フィンの前記第1端部にあり、前記複数のダミーゲート構造のうちの第2ダミーゲート構造は、前記半導体フィンの前記上面の一領域の上にありかつ前記側壁対の一領域に横方向に隣接し、前記複数のダミーゲート構造のうちの第3ダミーゲート構造は、前記半導体フィンの前記第2端部にある、複数のダミーゲート構造を形成する段階と、
    前記複数のダミーゲート構造のうちの前記第2ダミーゲート構造以外の、前記複数のダミーゲート構造のうちの前記第1ダミーゲート構造および前記第3ダミーゲート構造を除去する段階であって、前記除去により、前記半導体フィンの前記第1端部において前記ILD層に第1トレンチを形成し、前記半導体フィンの前記第2端部において前記ILD層に第2トレンチを形成する、段階と、
    前記第1トレンチ内に第1誘電体プラグを、および前記第2トレンチ内に第2誘電体プラグを形成する段階と
    を備え、
    前記第1誘電体プラグおよび前記第2誘電体プラグを形成する段階は、
    前記第1トレンチおよび前記第2トレンチの側壁および底部に沿って第1誘電体材料を形成する段階と、
    前記第1トレンチおよび前記第2トレンチの前記側壁に沿った前記第1誘電体材料の間、および前記第1トレンチおよび前記第2トレンチの前記底部上の前記第1誘電体材料上に、第2誘電体材料であって、前記第1誘電体材料とは異なる第2誘電体材料を形成する段階と
    を含む、
    方法。
  22. 前記複数のダミーゲート構造のうちの前記第1ダミーゲート構造は、前記半導体フィンの前記第1端部の一部分および前記上面の第1部分の上に形成され、前記複数のダミーゲート構造のうちの前記第3ダミーゲート構造は、前記半導体フィンの前記第2端部の一部分および前記上面の第2部分の上に形成される、請求項21に記載の方法。
  23. 前記第1誘電体プラグおよび前記第2誘電体プラグを形成する段階の後に、前記複数のダミーゲート構造のうちの前記第2ダミーゲート構造を除去して、前記半導体フィンの前記第1端部と前記第2端部との間に第3トレンチを形成する段階と、
    前記第3トレンチ内に、パーマネントゲート電極であって、前記半導体フィンの前記上面の前記一領域の上にありかつ前記側壁対の前記一領域に横方向に隣接するパーマネントゲート電極を形成する段階と
    をさらに備える、請求項21または22に記載の方法。
  24. 前記第1誘電体プラグおよび前記第2誘電体プラグの前記第2誘電体材料を形成する段階は、
    前記第1トレンチおよび前記第2トレンチ内、および前記第1トレンチおよび前記第2トレンチの前記底部上の前記第1誘電体材料上に、流動性二酸化シリコン前駆体を堆積させる段階と、
    前記流動性二酸化シリコン前駆体を二酸化シリコンに転換する段階と、
    前記二酸化シリコンを硬化させて前記二酸化シリコンの体積を低減する段階と
    を含む、請求項21から23のいずれか一項に記載の方法。
  25. 前記第1誘電体プラグおよび前記第2誘電体プラグを形成する段階は、
    前記第2誘電体材料上に第3誘電体材料を形成する段階
    をさらに含む、請求項21から24のいずれか一項に記載の方法。
JP2019518032A 2016-12-02 2016-12-02 フィン端部応力誘起フィーチャを有する半導体デバイス Active JP6849199B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/064658 WO2018101957A1 (en) 2016-12-02 2016-12-02 Semiconductor device having fin-end stress-inducing features

Publications (2)

Publication Number Publication Date
JP2020501334A JP2020501334A (ja) 2020-01-16
JP6849199B2 true JP6849199B2 (ja) 2021-03-24

Family

ID=62242233

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019518032A Active JP6849199B2 (ja) 2016-12-02 2016-12-02 フィン端部応力誘起フィーチャを有する半導体デバイス

Country Status (7)

Country Link
US (1) US10964800B2 (ja)
JP (1) JP6849199B2 (ja)
KR (1) KR102589134B1 (ja)
CN (1) CN109863606B (ja)
BR (1) BR112019008514A2 (ja)
DE (1) DE112016007366T5 (ja)
WO (1) WO2018101957A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490667B1 (en) 2018-05-15 2019-11-26 International Business Machines Corporation Three-dimensional field effect device
KR102573408B1 (ko) * 2018-09-11 2023-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11164866B2 (en) 2019-02-20 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR20210153385A (ko) 2020-06-10 2021-12-17 삼성전자주식회사 집적회로 장치

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100521382B1 (ko) * 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
CN102593037B (zh) 2011-01-12 2014-03-26 中国科学院微电子研究所 半导体结构及其制作方法
US8557666B2 (en) * 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
KR101735976B1 (ko) 2011-09-30 2017-05-15 인텔 코포레이션 트랜지스터 게이트용 캡핑 유전체 구조를 형성하는 방법
US8912606B2 (en) * 2012-04-24 2014-12-16 Globalfoundries Inc. Integrated circuits having protruding source and drain regions and methods for forming integrated circuits
US8981481B2 (en) * 2012-06-28 2015-03-17 Intel Corporation High voltage three-dimensional devices having dielectric liners
US8847281B2 (en) * 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
BR122016009112A2 (pt) * 2013-06-26 2019-08-27 Intel Corp estrutura semicondutora e método para fabricação de uma estrutura semicondutora
US8987094B2 (en) * 2013-07-09 2015-03-24 GlobalFoundries, Inc. FinFET integrated circuits and methods for their fabrication
US9368626B2 (en) * 2013-12-04 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with strained layer
US9305835B2 (en) * 2014-02-26 2016-04-05 International Business Machines Corporation Formation of air-gap spacer in transistor
KR102158962B1 (ko) * 2014-05-08 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9608065B1 (en) * 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates

Also Published As

Publication number Publication date
WO2018101957A1 (en) 2018-06-07
KR102589134B1 (ko) 2023-10-16
US20200058761A1 (en) 2020-02-20
CN109863606A (zh) 2019-06-07
CN109863606B (zh) 2023-12-08
KR20190083330A (ko) 2019-07-11
JP2020501334A (ja) 2020-01-16
US10964800B2 (en) 2021-03-30
DE112016007366T5 (de) 2019-07-11
BR112019008514A2 (pt) 2019-07-09

Similar Documents

Publication Publication Date Title
US11276760B2 (en) Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US10263112B2 (en) Vertical non-planar semiconductor device for system-on-chip (SoC) applications
US20230074199A1 (en) Gate-all-around integrated circuit structures having vertically discrete source or drain structures
JP6849199B2 (ja) フィン端部応力誘起フィーチャを有する半導体デバイス
KR102101763B1 (ko) Cmos 호환가능 폴리사이드 퓨즈 구조체와 그 제조 방법
US20210202478A1 (en) Gate-all-around integrated circuit structures having low aspect ratio isolation structures and subfins
US11749733B2 (en) FIN shaping using templates and integrated circuit structures resulting therefrom
US20220399373A1 (en) Integrated circuit structures having cut metal gates with dielectric spacer fill
US20220399336A1 (en) Fin cut in neighboring gate and source or drain regions for advanced integrated circuit structure fabrication
US10529827B2 (en) Long channel MOS transistors for low leakage applications on a short channel CMOS chip
US20230197816A1 (en) Integrated circuit structures having metal gate plug landed on dielectric anchor
KR20230043688A (ko) 금속 함유 소스 또는 드레인 구조를 갖는 집적 회로 구조
US20240096881A1 (en) Integrated circuit structures having gate cut plug removed from trench contact using angled directional etch
US20240096896A1 (en) Non-planar integrated circuit structures having mitigated source or drain etch from replacement gate process
US20220416044A1 (en) Lateral confinement of source drain epitaxial growth in non-planar transistor for cell height scaling
US20240105716A1 (en) Integrated circuit structures having uniform grid metal gate and trench contact plug
US20220399333A1 (en) Integrated circuit structures having metal gates with reduced aspect ratio cuts
US20240105802A1 (en) Integrated circuit structures having gate cut plugremoved from trench contact
US20230187494A1 (en) Integrated circuit structures having maximized channel sizing
US20240105804A1 (en) Integrated circuit structures having fin isolation regions bound by gate cuts
CN115810632A (zh) 选择性减薄的环栅(gaa)结构

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210224

R150 Certificate of patent or registration of utility model

Ref document number: 6849199

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250