JP6799075B2 - 流体バルブ組立体、処理バルブ位置調整器、および処理バルブの制御下での流体バルブの使用 - Google Patents

流体バルブ組立体、処理バルブ位置調整器、および処理バルブの制御下での流体バルブの使用 Download PDF

Info

Publication number
JP6799075B2
JP6799075B2 JP2018551436A JP2018551436A JP6799075B2 JP 6799075 B2 JP6799075 B2 JP 6799075B2 JP 2018551436 A JP2018551436 A JP 2018551436A JP 2018551436 A JP2018551436 A JP 2018551436A JP 6799075 B2 JP6799075 B2 JP 6799075B2
Authority
JP
Japan
Prior art keywords
supply pressure
chamber
pressure chamber
stem
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018551436A
Other languages
English (en)
Other versions
JP2019510180A (ja
Inventor
グネル,ヤン
オルッコネン,ミカ
ヨキネン,ユーソ
クッコネン,ヨニ
ハコラ,ユリ
Original Assignee
ネレス フィンランド オサケユキチュア
ネレス フィンランド オサケユキチュア
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ネレス フィンランド オサケユキチュア, ネレス フィンランド オサケユキチュア filed Critical ネレス フィンランド オサケユキチュア
Publication of JP2019510180A publication Critical patent/JP2019510180A/ja
Application granted granted Critical
Publication of JP6799075B2 publication Critical patent/JP6799075B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B11/00Servomotor systems without provision for follow-up action; Circuits therefor
    • F15B11/08Servomotor systems without provision for follow-up action; Circuits therefor with only one servomotor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B13/00Details of servomotor systems ; Valves for servomotor systems
    • F15B13/02Fluid distribution or supply devices characterised by their adaptation to the control of servomotors
    • F15B13/04Fluid distribution or supply devices characterised by their adaptation to the control of servomotors for use with a single servomotor
    • F15B13/0401Valve members; Fluid interconnections therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B13/00Details of servomotor systems ; Valves for servomotor systems
    • F15B13/02Fluid distribution or supply devices characterised by their adaptation to the control of servomotors
    • F15B13/04Fluid distribution or supply devices characterised by their adaptation to the control of servomotors for use with a single servomotor
    • F15B13/042Fluid distribution or supply devices characterised by their adaptation to the control of servomotors for use with a single servomotor operated by fluid pressure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K11/00Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves
    • F16K11/02Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with all movable sealing faces moving as one unit
    • F16K11/04Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with all movable sealing faces moving as one unit comprising only lift valves
    • F16K11/048Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with all movable sealing faces moving as one unit comprising only lift valves with valve seats positioned between movable valve members
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K11/00Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves
    • F16K11/10Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with two or more closure members not moving as a unit
    • F16K11/14Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with two or more closure members not moving as a unit operated by one actuating member, e.g. a handle
    • F16K11/16Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with two or more closure members not moving as a unit operated by one actuating member, e.g. a handle which only slides, or only turns, or only swings in one plane
    • F16K11/161Multiple-way valves, e.g. mixing valves; Pipe fittings incorporating such valves with two or more closure members not moving as a unit operated by one actuating member, e.g. a handle which only slides, or only turns, or only swings in one plane only slides
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/02Construction of housing; Use of materials therefor of lift valves
    • F16K27/0263Construction of housing; Use of materials therefor of lift valves multiple way valves
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/126Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like
    • F16K31/1262Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like one side of the diaphragm being spring loaded
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/126Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like
    • F16K31/1266Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like one side of the diaphragm being acted upon by the circulating fluid
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/126Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like
    • F16K31/128Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like servo actuated
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/36Actuating devices; Operating means; Releasing devices actuated by fluid in which fluid from the circuit is constantly supplied to the fluid motor
    • F16K31/40Actuating devices; Operating means; Releasing devices actuated by fluid in which fluid from the circuit is constantly supplied to the fluid motor with electrically-actuated member in the discharge of the motor
    • F16K31/402Actuating devices; Operating means; Releasing devices actuated by fluid in which fluid from the circuit is constantly supplied to the fluid motor with electrically-actuated member in the discharge of the motor acting on a diaphragm

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Fluid-Driven Valves (AREA)

Description

本願は、流体アクチュエータの制御、特に空圧および油圧アクチュエータの制御に関する。
アクチュエータは、しばしば、動きを導入したり、動きを制御したりする機構として使用される。これは、エネルギー源、通常、電流、油圧流体圧力、または空圧流体圧力により作動され、エネルギーは、制御バルブの閉止素子の動きのような、対象とする機構の動きに変換される。
制御バルブは、通常、異なるパイプラインおよび処理における液体フローまたは気体フローの連続制御に使用される。パルプおよび紙、精油、石油化学および化学産業のような、処理プロセスの産業では、処理プロセスにおいて、プラントのパイプシステム制御材料フローに導入された、異なる種類の制御バルブが材料の流れを制御する。材料の流れは、流体、溶液、液体、気体および蒸気のような、任意の流体材料を含み得る。制御バルブは、通常、アクチュエータに接続され、これは、バルブの閉止素子を、完全開放と完全閉止の間の所望の位置に移動させる。アクチュエータは、例えば、空圧シリンダ-ピストン装置であり得る。通常、アクチュエータはその一部が、バルブ制御器とも称される、バルブ位置調整器により制御され、これは、処理制御器からの制御信号により、制御バルブの閉止素子の位置を制御し、従って、処理プロセス内の材料の流れを制御する。
通常産業用に適用されるバルブは、しばしば、空圧アクチュエータにより作動される。これらのアクチュエータは、ステムに接続されたダイアフラムまたはピストンに作用する圧力により、空気圧をバルブステムの動きに変換する。アクチュエータは、シングル動作であっても、ダブル動作であっても良い。シングル動作装置では、反対方向の動きは、バネと、バネに対して作動する圧縮空気より行われる。空気圧によりバルブが閉止し、バネの動作によりバルブが開く場合、アクチュエータは、直接作動と称される。空気圧によりバルブが開き、バネ動作によりバルブが閉止する場合、アクチュエータは、反転動作と称される。ダブル動作アクチュエータは、ダイアフラムまたはピストンの両側に供給される空気を有する。ダイアフラムまたはピストン位置にわたる圧力差により、バルブステムが措定の位置に配置される。回路により、空気信号が自動的に制御される場合、自動動作が提供される。回路内のマニュアルスイッチにより、空気制御バルブに半自動動作が提供される。また、空圧アクチュエータと同様のバルブの配置用に、油圧アクチュエータが使用され得るが、ここでは、空気または空圧流体の代わりに、油圧流体を使用する。
バルブ位置調整器は、通常、デジタルフィールドバスにわたって、またはアナログの4…20mAの制御信号として、制御コマンドを受信することができる。ハイウェイアドレス可能遠隔トランスデューサ(HART)プロトコルにより、従来の4から20mAのアナログ信号とともに、デジタルデータの送信が可能となる。フィールドバスの他の例は、フィールドバスおよびプロフィバスである。通常、位置調整器への全ての電力が、フィールドバス、または4…20mA制御信号から得られる。位置調整器への別個の電力供給は、好ましくない。これには別個のケーブルが必要となるためである。位置調整器は、電気制御出力を有する電子ユニットと、電気制御信号を取り入れ、これをアクチュエータへの対応する流体圧力出力に変換する空圧または油圧ユニットと、を有する。これは、しばしば、電流-対-圧力(I/P)変換と称される。空圧または油圧ユニットは、事前ステージおよび出力ステージを有しても良い。フィールドバスまたはアナログ電流ループから利用できる電力は、極めて限られるため、事前ステージは、まず電気制御信号を、出力ステージの制御に十分な、小さなパイロット流体圧力に変換する。出力ステージは、供給流体圧力に接続され、小さな圧力信号が、アクチュエータで使用される大きな流体圧力出力信号に増幅される。出力ステージは、しばしば、圧力増幅器、圧力ブースタ、または圧力リレーと称される。
位置調整器に使用される空圧出力ステージは、大きく、スプールバルブ組立体と、ポペットバルブ組立体とにグループ分けされる。図1Aには、ダブル動作アクチュエータを制御する5/3スプールバルブ(5ポート/3状態)の単純化された設計例が示されている。また、図1Bには、対応する概略的な符号が示されている。スプールバルブタイプの出力ステージでは、移動部分のみがスプール6であり、これは、バルブ本体7の中心ボア内を移動し、供給圧力ポート1からアクチュエータポート2、4、およびアクチュエータポート2、4から排気ポート3、5への空気フローを制御する。スプールバルブの構造のため、バルブを介して、常時、供給空気のリークが発生する。厳密な許容誤差により、スプールバルブの製造技術が極めて難しくなる。通常、スプールバルブタイプの出力ステージは、環境動作および製造の変化に対してロバスト性がない。
ポペットバルブ構成を有する出力ステージでは、スプールバルブに比べて、移動部品の数が多くなる。しかしながら、スプールバルブ部品に許容される大きな許容誤差およびクリアランスにより、経済的な大量生産および現代の製造技術が利用可能となる。図1Cには、ダブル動作アクチュエータを制御する、従来の4/2ポペットバルブ(4ポート/1状態)の単純化された構成例が示されている。図1Dには、対応する概略的な符号が示されている。図から明らかなように、従来のポペットバルブ組立体では、供給圧力ポート1からアクチュエータポート2、4、およびアクチュエータポート2、4から排気ポート3への空気フローを制御するため、2つの別個のポペットバルブ8、9が必要となる。図1Cに示された従来の出力ステージでは、単一のパイロット圧力での制御可能性は乏しい。ポペットバルブ8、9の動きは、機械的に相互に接続されていないためである。米国特許第6276385号には、出力ステージが記載されている。作動ビームによってポペットバルブがともに動き、反対方向に協働的に動かされる。作動ビームは、中心枢軸に対して回転するロッカーアームである。ポペットバルブの動きは、同期される。
図1Cに示す従来の出力ステージ、および米国特許第6276385号の出力ステージでは、いずれも、圧力の力に打ち勝つため、ポペットバルブの制御に極めて大きな力が必要となる。ポペットバルブの開放に必要な閾値力は大きくなり、制御領域内に、顕著な遮断点が導入される。従来のポペットバルブタイプの出力ステージのこの特性により、出力ステージの制御がより難しくなる。
米国特許第6276385号明細書
シングル動作アクチュエータ用のポペットバルブタイプの3/2出力ステージ(3ポート/2状態)の例は、米国特許第6276385号、米国特許第69571275号、米国特許第8522818号、米国特許第7458310号、および米国特許第5261458号に記載されている。
本発明の一態様では、新たな構成の流体バルブ組立体または出力ステージが提供される。
本発明の一態様は、独立請求項に記載の、流体バルブ組立体およびバルブ位置制御器である。本発明の実施形態は、従属請求項に記載されている。
本発明の一態様は、アクチュエータ、特に油圧または空圧アクチュエータに、加圧下で、アクチュエータ流体圧力を提供するための、流体の供給部に接続される流体バルブ組立体であって、
中心ボアを有するバルブ本体であって、前記中心ボアは、内側供給圧力チャンバ、供給圧力入力ラインに接続された外側供給圧力チャンバ、アクチュエータチャンバ、および排気チャンバを有する、バルブ本体と、
前記中心ボア内で、軸方向に可動なステムであって、該ステムに影響を及ぼす軸方向のパイロット力および軸方向の反対力により作動される、ステムと、
前記ステムと同軸に配置され、前記ステムにより制御される、メータリングエッジ(metering edge)および反対作用メータリングエッジであって、それぞれ、前記外側供給圧力チャンバから前記アクチュエータチャンバに、および前記アクチュエータチャンバから前記排気チャンバに、流体フローを制御するように配置された、メータリングエッジおよび反対作用メータリングエッジと、
前記外側供給圧力チャンバと前記内側供給圧力チャンバとの間に、前記ステムと同軸に配置されたシール部材と、
を有し、
前記内側供給圧力チャンバは、前記内側供給圧力チャンバ内で前記ステムに影響を及ぼす前記軸方向の反対力を提供する、安定化された供給圧力を保持するように配置され、
当該流体バルブ組立体は、さらに、前記内側チャンバ内の前記供給圧力を安定化させる手段を有する、流体バルブ組立体である。
ある実施形態では、前記内側チャンバ内の前記供給圧力を安定化させる手段は、前記内側供給圧力チャンバと前記外側供給圧力チャンバの間に、制限されたフロー経路を有する。
ある実施形態では、前記制限されたフロー経路は、所定の速度で、前記内側供給圧力チャンバに保持された前記安定化された供給圧力を、前記外側供給圧力チャンバ内の供給圧力まで低下させるように配置される。
ある実施形態では、前記外側供給圧力チャンバ内の前記供給圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも高い場合、前記制限されたフロー経路は、所定の速度で、前記内側供給圧力チャンバに保持された前記安定化された供給圧力を高めるように配置される。
ある実施形態では、前記制限されたフロー経路は、フロー制限器を有する。
ある実施形態では、前記フロー制限器は、フローオリフィス制限器であり、好ましくは約0.1mmから約0.5mm、より好ましくは約0.2mmから約0.3mmのオリフィス直径を有する。
ある実施形態では、前記内側供給圧力チャンバ内の前記供給圧力を安定化させる手段は、チェックバルブまたはフロー制御装置を有し、
該制御装置またはチェックバルブは、前記外側供給チャンバ内の圧力が、前記内側供給チャンバに保持された前記安定化された供給圧力よりも低下した場合、前記内側供給チャンバと前記外側供給チャンバの間の供給圧力フロー経路をブロックするように構成され、そうでない場合、前記供給圧力フロー経路を開にするように配置される。
ある実施形態では、前記シール部材は、リップシール、リングシール、シールダイアフラム、またはシールベローを有する。
ある実施形態では、前記シール部材は、環状リップシールであり、
該環状リップシールは、
前記外側供給圧力チャンバ内の圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも低下した場合、前記内側供給圧力チャンバを、前記外側供給圧力チャンバからシールするように配置され、
前記外側供給圧力チャンバ内の前記供給圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも高くなった場合、前記外側供給圧力チャンバから前記内側供給圧力チャンバに前記供給圧力を通し、前記内側供給圧力チャンバに保持された前記安定化された供給圧力を高めるように配置される。
ある実施形態では、当該流体バルブ組立体は、前記外側供給圧力チャンバの前記供給圧力入口から、パイロット圧力を制御する事前ステージまでの、別の制限されたフロー経路を有し、
これにより軸方向のパイロット力が制御される。
ある実施形態では、前記制限されたフロー経路、および前記別の制限されたフロー経路は、前記外側供給圧力チャンバ内の前記供給圧力の変化による、前記軸方向の反対力の変化の速度と、前記パイロット力の変化の速度とが、ほぼ等しくなるように寸法化される。
ある実施形態では、前記内側供給圧力チャンバ内の前記供給圧力を安定化する手段は、チェックバルブまたはフロー制御装置を有し、
該チェックバルブまたはフロー制御装置は、
前記外側供給チャンバ内の圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも低下した場合、前記内側供給圧力チャンバと前記外側供給圧力チャンバとの間の供給圧力フロー経路をブロックし、
そうでない場合、前記供給圧力フロー経路を開にするように構成される。
ある実施形態では、前記内側供給圧力チャンバ内の前記供給圧力を安定化する手段は、チェックバルブまたはフロー制御装置を有し、
該チェックバルブまたはフロー制御装置は、
前記外側供給圧力チャンバ内の供給圧力が、前記内側供給チャンバに保持された前記安定化された供給圧力よりも低下した場合、前記内側供給チャンバと前記外側供給チャンバとの間の供給圧力フロー経路をブロックするように構成され、
そうでない場合、前記供給圧力フロー経路を開にするように配置され、
さらに、前記内側供給圧力チャンバから、パイロット圧力を制御する事前ステージまでの、制限されたフロー経路を有し、
これにより軸方向のパイロット力が制御される。
ある実施形態では、前記メータリングエッジおよび前記反対作用メータリングエッジの一方は、可撓性素子により、前記ステム、およびバルブ本体上の前記それぞれの合わせシート表面に支持されたポペットリングを有し、
前記メータリングエッジおよび前記反対作用メータリングエッジの他方は、可撓性素子により、前記バルブ本体、および前記ステム上の前記それぞれの合わせシート表面に支持されたポペットリングを有し、
前記支持配置により、前記それぞれのメータリングエッジの閉止状態において、前記ポペットリングと前記支持バルブ本体またはステムとの相対的な軸方向の移動が可能になる。
ある実施形態では、前記可撓性素子の各々は、それぞれのシール素子を有し、好ましくはシールダイアフラムまたはシールベローを有する。
本発明の別の態様は、処理バルブ位置制御器であって、
電気制御出力を備える電子ユニットと、
前記電気制御出力を、アクチュエータへの対応する流体圧力出力に変換するように配置された空圧または油圧ユニットと、
を有し、
前記空圧または油圧ユニットは、請求項1乃至15のいずれか一つに記載の流体バルブ組立体を有する、処理バルブ位置制御器である。
ある実施形態では、前記空圧または油圧ユニットは、事前ステージおよび出力ステージを有し、
前記事前ステージは、前記電気制御出力を、前記出力ステージの制御に十分なパイロット圧力に変換するように配置され、
前記出力ステージは、本発明の実施例による流体バルブ組立体を有する。
本発明のさらに別の態様は、処理バルブの制御の際の、本発明の実施例による流体バルブ組立体の使用である。
以下、添付図面を参照して、一実施例により本発明について説明する。
従来技術の5/3スプールバルブの単純化された例を示した図である。 図1Aの対応する概略的な符号を示した図である。 従来技術の4/2ポペットバルブの単純化された例を示した図である。 図1Cの対応する概略的な符号を示した図である。 ステムの3つの各位置における、本発明の一実施例による流体バルブ組立体を概略的に示した図である。 ステムの3つの各位置における、本発明の一実施例による流体バルブ組立体を概略的に示した図である。 ステムの3つの各位置における、本発明の一実施例による流体バルブ組立体を概略的に示した図である。 別の実施形態による流体バルブ組立体を概略的に示した図である。 ステムの3つの各位置における、ステムに対するポペットリングの可撓性サポートの一例を概略的に示した図である。 ステムの3つの各位置における、ステムに対するポペットリングの可撓性サポートの一例を概略的に示した図である。 ステムの3つの各位置における、ステムに対するポペットリングの可撓性サポートの一例を概略的に示した図である。 本発明の一実施形態による圧力バランス化ポペットリングの一例を概略的に示した図である。 本発明の一実施形態による圧力バランス化ポペットリングの一例を概略的に示した図である。 さらに別の実施形態による流体バルブ組立体を概略的に示した図である。 さらに別の実施形態による流体バルブ組立体を概略的に示した図である。 さらに別の実施形態による流体バルブ組立体を概略的に示した図である。 フロー制限器の例を概略的に示した図である。 パイロット圧力安定化による、別の実施形態による流体バルブ組立体を概略的に示した図である。 パイロット圧力安定化による、別の実施形態による流体バルブ組立体を概略的に示した図である。 処理自動化システムの一例の概略的なブロック図である。 バルブ位置調整器の制御下で空圧アクチュエータが処理バルブを作動させる際の位置配置を示した図である。 本発明の実施形態による流体バルブ組立体が提供され得る、知的バルブ制御器の一例の概略的なブロック図である。
同じ譲受人を有する係属中のフィンランドの特許出願FI20155177号には、各種改善された特性を提供する、流体バルブ組立体または出力ステージが開示されている。特許出願FI20155177号の開示は、本願の参照として取り入れられ、流体バルブ組立体構造に関する詳細な説明が提供される。これらは、本発明の原理および実施形態に適用され得る。特許出願FI20155177号に開示されたタイプの流体バルブ組立体は、ポペットタイプのバルブ等に導入される。ポペットタイプのバルブ組立体は、スプールバルブとは異なり、摩耗し易いソフトシーリングを使用しなくても、実質的にリークフリーにすることができる。必要な製造技術は、小さなクリアランスのスプールバルブほど難しくはない。多くの部材を使用するものの、製造コストには競争力がある。バルブ組立体のメータリングエッジ(metering edges)は、ステムにより相互に機械的に結合され、可撓性素子により支持される。メータリングエッジとステムまたはバルブ本体との軸方向の相対的な動きは、これらがその閉止位置に到達した場合にも、閉止方向において可能となる。従来のポペットバルブでは、バルブが閉止されると、ポペットの動きは閉止方向に継続できなくなる。これにより、一つのパイロット圧力のような一つのパイロット力を用いた、ポペットバルブの正確な制御が可能となる。
図2A、2B、2Cには、本発明の一実施形態による、アクチュエータに加圧下で制御流体圧力を提供するため、流体の供給部に接続され得る流体バルブ組立体20が概略的に示されている。
図3には、別の実施形態による流体バルブ組立体20が概略的に詳しく示されている。図2A、2B、2Cおよび図3と同じ参照符号は、同じまたは対応する素子、構造、機能、および特徴を表す。
一実施形態では、シングル動作アクチュエータまたは対応する装置を制御する、3つのポートおよび3つの位置または状態を有する3/3バルブ組立体が示されている。しかしながら、他の数のポートおよび/または位置もしくは状態を有するバルブ組立体に対しても、同じ原理が適用できる。
バルブ組立体20は、軸中心ボアまたはチャンバ202を有する細長いフレームまたは本体201を備え、この軸中心ボアまたはチャンバは、加圧下で流体の供給を受容する供給ポートSまたは供給チャンバ202Cと、シングル動作アクチュエータに制御流体圧力を提供する、アクチュエータポートCまたはアクチュエータチャンバ202Dと、アクチュエータポートCからの流体圧力を(例えば環境側に)ベントする、排気ポートEXまたは排気チャンバ202Cと、を有する。
本発明のある態様では、バルブ本体201内に、中心ボア202において軸方向に移動するステム203が提供される。ステム203は、バルブ組立体に導入された際に、単一の剛性ステムを形成するように配置された、2または3以上の部品を有しても良い。ステム203は、中心ボア202内に軸方向に離間して配置された、複数のポペットリングPR3、PR4を貫通して延伸する。各ポペットリングPR3、PR4は、ステム203と同軸に配置され、それぞれの合わせシート表面PS3、PS4と協働して、それぞれのメータリングエッジ(あるいは制御端部と称されても良い)PR3/PS3およびPR4/PS4を形成する。これは、制御オリフィス(図2B、2Cにおいて、矢印で示されている)を形成し、アクチュエータポートC(アクチュエータチャンバ202C)と、供給S(供給チャンバ202D)および排気ポートEX(排気チャンバ202B)の一つとの間で、流体の流れを制御する。メータリングエッジの閉止位置において、ポペットリングがそれぞれの合わせシート表面に押し付けられると、メータリングエッジを通る流体の流れは実質的に生じない。ある実施形態において、メータリングエッジが閉止されていると見なされる場合であっても、ある流体の流れまたは流体リークが許容されることは明らかである。メータリングエッジの開放位置では、ポペットリングがそれぞれの合わせシート表面と分離して、オリフィスがこれらの間で開になった際に、メータリングエッジを介した流体の流れが可能となる。
本発明のある態様では、バルブ組立体20のメータリングエッジPR3/PS3およびPR4/PS4は、ステム203により機械的に相互に結合され、可撓性素子SD3およびSD4により支持される。メータリングエッジとステム203または本体201の軸方向の相対的な動きは、これらがその閉止位置に到達しても、閉止方向において可能となる。従来のポペットバルブでは、バルブが閉止されると、閉止方向におけるポペットの動きは、継続できなくなる。これにより、ポペットバルブの正確な制御が可能となる。
本発明の一態様では、アクチュエータポートCに、反対作用メータリングエッジの組が提供され、反対作用組の両方のメータリングエッジがステムの中心位置で閉にされ、第1の軸方向へのステム203の動きにより、一つのメータリングエッジが閉にされ、反対作用組の他のメータリングエッジが開にされ、反対の第2の軸方向へのステム203の動きにより、一つのメータリングエッジが開にされ、反対作用組の他方のメータリングエッジが閉にされる。
ポペットタイプのバルブ組立体は、スプールバルブとは異なり、摩耗しやすいソフトシールを使用しなくても、実質的にリークフリーとすることができる。必要な製造技術は、小さなクリアランスのスプールバルブほど難しくはない。部品の数は多いものの、製造コストには競争力がある。
ある実施形態では、ステム203と同軸に配置された各ポペットリングPR3およびPR4は、それぞれの可撓性素子SD3およびSD4により、本体201またはステム203に支持され、ポペットリングがその閉止位置に到達した場合でも、閉止方向における、ポペットリングPR3およびPR4とステム203または本体201との軸方向の相対的な動きが可能となる。
ある実施形態では、可撓性素子SD3およびSD4は、図3、図4A、4B、4Cに示したような、環状シールダイアフラムまたは環状シールベローである。
ある実施形態では、各ポペットリングPR3およびPR4は、ショルダーまたはフランジのようなステム203の大きな直径区画で形成された、あるいは中心ボア202に向かって半径方向に突出する本体区画により形成された、それぞれの合わせシート表面PS3およびPS4を有し、これにより、本体201の内方ショルダーまたはフランジのような、中心ボア202の小さな直径の区画が提供される。
本発明のある実施形態では、ポペットリングPR4は、可撓性素子SD4により、その外側円において、バルブ本体201に対して支持される一方、その内側円は、フリーである。ポペットリングPR4は、中心ボア202に向かって半径方向内向きに突出し、ステム203のそれぞれの大きな直径の端部区画203Bにより形成された、それぞれの合わせシート表面PS4を有しても良い。ポペットリングPR3は、それぞれの可撓性素子SD3により、その内側円でステム203に支持されるものの、その外側円はフリーである。ポペットリングPR3は、バルブ本体201に形成された、それぞれの合わせシート表面PS3を有する。
本発明の態様では、アクチュエータポートCに、反対作用のメータリングエッジの組PR3/PS3およびPR4/PS4が提供され、反対作用組の両方のメータリングエッジは、ステムの中心位置において閉止され、一つのメータリングエッジは閉にされ、第1の軸方向へのステム203の動きにより、反対作用組の他方のメータリングエッジは開にされ、反対の第2の方向へのステム203の動きにより、一つのメータリングエッジは開にされ、反対作用組の他方のメータリングエッジは閉にされる。
ある実施形態では、メータリングエッジPR3/PS3は、アクチュエータポートC(アクチュエータチャンバ202D)と、供給ポートS(供給チャンバ202C)との間で流体の流れを制御し、メータリングエッジPR4/PS4は、アクチュエータポートC(アクチュエータチャンバ202D)と、排気ポートEX(排気チャンバ202C)との間で、流体の流れを制御する。
代替実施例では、ポペットリングPR3と同様の方法で、それぞれの可撓性シール素子により、全てのポペットリングがステム202に支持されても良く、合わせシート表面PS3と同様の方法で、バルブ本体201に全ての合わせシート表面が配置されても良い。別の代替実施例では、全てのポペットリングは、ポペットリングPR4と同様の方法で、それぞれの可撓性シール素子により、バルブ本体201に支持され、合わせシート表面PS4と同様の方法で、全ての合わせシート表面がステム203に配置されても良い。しかしながら、この場合、ポペットリングの一部は、それぞれのメータリングエッジの高圧力側に存在しなくても良い。これは、流れの制御および圧力バランスに、問題を引き起こすおそれがある。
ある実施形態では、バネのような予備付勢された弾性素子が提供され、メータリングエッジ用の閉止力が提供される。例えば、1または2以上の予備付勢バネ213は、ステム203の周囲の、本体201の上部とポペットリングPR3との間に配置され、ポペットリングPR3に対して、軸方向の閉止力が働き、合わせシート表面PS3に対して、これが加圧されても良い。同様に、中心ボア202内のステム203の周囲には、アクチュエータポートC(アクチュエータチャンバ202D)に、1または2以上の予備付勢バネがあり、一方の端部でポペットリングPR4と境界化され、別の端部で本体201またはステム203上の、ショルダーのような好適な支持素子と境界化される。ただし、閉止力が生じる特定の技術が、本発明の基本に必須ではないことは明らかである。
図2Aに示したステム203の閉止中心位置では、ステム203を軸方向において中心位置からずらすような、軸方向の正味の力F_totは存在しない。全てのメータリングエッジPR3/PS3、PR4/PS4が閉止され、すなわち、各ポペットリングPR3およびPR4がそれぞれの合わせシート表面PS3およびPS4に押し付けられる。ポートEX、C、およびS(すなわちチャンバ202B、202Cおよび202D)の間に、流体の流れは存在しない。図4A、4Bおよび4Cには、ステム203に対するポペットリングPR3の、可撓性サポートSD3の実施例を概略的に示す。可撓性サポートSD3は、ステム203の外周に固定された内側円と、ポペットリングPR3の内側円に固定された外側円とを有する、折り畳み環状シールダイアフラムの形態であっても良い。合わせシート表面PS3は、バルブ本体201上の固定表面である。図4Aでは、シールダイアフラムSD1のU字型の折り曲げ部は、ほぼまたはほとんど未変形であり、ポペットリングPR3は、合わせシール表面PS3に支持される。メータリングエッジの閉止位置が、全体の動きの一部分、例えば全体の動きの10%を占め、従って、シールダイアフラムが僅かに変形しても良いこと、すなわち、ほぼまたはほとんど未変形であることは明らかである。
軸方向の正味の力F_totは、ステム203の一端に影響を及ぼす軸方向のパイロット力F_pilと、ステム203の反対の端部に影響を及ぼす軸方向の反対力F_subにより形成され得る。一実施形態では、パイロット力F_pilは、パイロット流体圧力により提供され、これは、パイロット圧力チャンバ210において、図3に示すように、ステム203の一端に配置されたパイロットダイアフラム206およびピストン207に影響を及ぼす。
軸方向のパイロット力F_pilと軸方向の反対力F_subが等しい場合、軸方向の正味の力F_totはゼロであり、バルブ組立体は、図2Aに示した閉止中心位置にある。アクチュエータは、移動しない(例えば制御バルブは、その現在の開状態を維持する)。軸方向のパイロット力F_pilが軸方向の反対力F_subよりも大きくなるまで上昇すると、正の軸方向の正味の力F_totが生じ、ステム203は、図2B、図3、図4Bに示すように、上方(正の方向)に移動する。ステム203において、ショルダーのような嵌合素子205は、ポペットリングPR3と嵌合し、それを上方に移動させ、これにより第3のメータリングエッジPR3/PS3が開にされ、供給ポートSからアクチュエータポートCに流体が流れる。図3Aおよび4Aに示した例では、シールダイアフラムSD3のU字型の曲げ部は、ほぼ未変形の形状に維持される。ポペットリングPR3は、ステム203とともに自由に移動できるからである。同時に、ステム203の上方に移動するシート表面PS4が、本体20にフレキシブルに支持されるポペットリングPR4と連動し、これを上方に移動させると、反対作用のメータリングエッジPR4/PS4は、閉に維持される。図3Aに示した例では、U字型のシールダイアフラムSD4が変形し、本体201に対するポペットリングPR4の移動が可能となる。また、ステム203のシート表面PS1が上方に移動し、ポペットリングPR1から分離され、これにより、第1のメータリングエッジPR1/PS1が開にされ、アクチュエータポートCから排気ポートEX1に、流体が流れる。図3Aに示した例では、シールダイアフラムSD1のU字型の曲げ部分は、ほぼ未変形である。同時に、ポペットリングPR2は、ステム203にフレキシブルに支持されているため、本体201の合わせシート表面PS2に対して、静止した位置に維持される一方、ステム203は、ポペットリングPR2を通って上方に移動する。従って、メータリングエッジPR2/PS2は、閉に維持される。図3Aに示した例では、U字型のシールダイアフラムSD2は、変形し、ポペットリングPR2のステム203に対する移動が可能となる。アクチュエータは、第1の方向に(例えば、制御バルブの100%開に向かって)移動する。
図2Bおよび4Bに示した位置から始まり、軸方向のパイロット力F_pilが軸方向の反対力F_subと等しくなるまで減少し、その後これよりも小さくなると、正の軸方向の正味の力F_totが先ず減少し、その後負の軸方向の正味の力F_totが生じ、図2C、3、および4Cに示すように、ステム203が下方(負の方向)に移動する。ステム203のシール表面PS4は、下方に移動し、ポペットリングPR4から分離され、これによりメータリングエッジPR4/PS4が開になり、アクチュエータポートCから排気ポートEXに流体が流れる。図3に示した例では、本体201に対するポペットリングPR4の下方の移動により、U字型のシールダイアフラムSD4は、元のほぼまたはほとんど未変形の形状に戻る。同時に、ポペットリングPR3は、ステム203にフレキシブルに支持されているため、本体201上の合わせシート表面PS3に対して移動し、そこで停止されるが、ステム203は下方に移動し続ける。従って、メータリングエッジPR3/PS3が閉止される。図3および図4Cに示した例では、U字型のシールダイアフラムSD3が変形し、これにより、ポペットリングPR3のステム203に対する上方の移動が可能となる。アクチュエータは、第2の方向に(例えば、制御バルブの0%開放に向かって)移動する。
本発明のある態様では、ポペットリングPR3およびPR4は、圧力バランス化されても良い。圧力バランス化ポペットリングは、ポペットリングに加わる流体圧力の力が補償され、得られる流体圧力の力がそれぞれのメータリングエッジに極めて僅かの影響を及ぼし、または影響しないように寸法化され、形状化されても良い。その結果、ステムの移動に必要な制御の力は、バランス化されていないポペットバルブ組立体に必要となる制御の力のごく一部となる。これにより、(より良い制御が得られる結果)従来のポペットバルブよりも迅速に、または(制御器のエネルギーの必要性が小さくなる結果)小さなパイロット圧力で、ステム203を制御する可能性が提供される。また、流体圧力の力の補償の結果、制御範囲にわたって、ステム203にリニアな動作が得られる。従来技術では、補償されていない高い流体圧力の力により、ちょうど制御範囲の中間において、大きな不連続点(大きなデッドゾーン)が生じる。従って、圧力バランス化ポペットリングにより、従来技術のポペットバルブ組立体と比べて、実施例によるポペットリング組立体に、より良い制御性が得られる。これにより、処理バルブの制御の精度を失わずに、小さなアクチュエータを制御するため、高容量の出力ステージを用いることが可能となる。
ポペットリングPR3およびPR4は、圧力バランス化ポペットリングの一例である。図5Aには、圧力バランス化ポペットリングの別の例を示す。圧力バランス化ポペットリングPR3の代わりに使用される一例のポペットリングが示されているが、図2A、2B、2C、3、4A、4B、および4Cに示したポペットリングの代わりに、同様のポペットリングを使用することも可能である。図5Aにおいて、メータリングエッジPR3/PS3は、閉止位置で示されている。ポペットリングPR3は、柔軟な圧力チャンバ202Cの高圧(供給圧力SP)側にある。可撓性シールダイアフラムSD3は、ポペットリングPR3とステム203の間に気密シールを提供し、ポペットリングPR3とステム203の軸方向の相対的な動きが可能な状態で、ポペットリングPR3をステム203に固定しても良い。ポペットリングPR3の形状は、半径方向に比較的狭小のリング先端501に、有効なメータリングエッジPR3/PS3が形成されるようにされても良い。図5Aにおいて、対称ライン200で示すように、シールダイアフラムSD3における曲げ部分の中央点は、軸方向(図5Aの垂直方向)において、リング先端501とほぼ一致されても良い。ポペットリングPR3の反対の端部(図5Aの上端)では、シールダイアフラムSD3の曲げ部分の中央点から外方に向かう半径方向の幅は、所定の上部表面積を定め、これは、供給圧力SPにより、軸方向(下方)の圧力に晒されるポペットリングPR3に及ぼす軸方向(下方)の圧力を定める。ポペットリングPR3の形状は、高圧チャンバ202Gにより示されているように、高圧側がポペットリングの下方に向かって、リング先端501まで延伸されるように、選定されても良い。チャンバ202Gと面する底部表面503は、ポペットリングPR3の底面に影響を及ぼす供給圧力SPによって、下方圧力にほぼ等しい補償軸方向(上方)圧力が提供されるように、寸法化されても良い。これにより得られる、ポペットリングPR3に影響を与える圧力は、対称ライン200の片側(左側)において、極めて小さく、またはゼロになる。低圧力側では、可撓性ダイアフラムSD3の下の空間202Fであって、ポペットリングPR3の半径方向内側に延伸するショルダー504の上部に、低い圧力が存在する。ショルダー504の寸法は、ショルダー504の上部表面での低圧流体により生じる下方圧力の力が、ポペットリングPR3の下で低流体圧力により生じる上方の圧力の力をほぼ補償するように選定されても良い。素子502は、可撓性シールダイアフラムSD3を、ポペットリングPR3に固定する一例である。本体201にフレキシブルに接続されるポペットリングPR4の代わりに、同様のポペットリングを使用しても良い。PR4のプロファイルは、図5Aに示したものの鏡像である。図5Bには、ポペットリングのプロファイルの別の例を示す(PR3は、一例として示されている)。
一実施例では、パイロット力F_pilは、パイロットダイアフラム206、およびステム203の一端に配置されたピストン207に影響を及ぼすパイロット流体圧力により提供される。ステム203の反対の端部に配置された、バネのような弾性予備付勢素子212が提供され、不具合の際、例えば、供給圧力SPまたは電力が消失した際に、バルブが安全位置に駆動される。
一実施例では、図3に示すように、反対力F_subは、ステム203の端部に配置された反対ピストン203Aに影響を及ぼす供給圧力SPにより、提供されても良い。チャンバ202C内の流体圧力は、供給圧力SPであり、反対ピストン203Aおよび/またはポペットリングPR3の寸法は、反対力F_subが、チャンバ210内のパイロット力により提供されるパイロット力F_pilと等しくなるスケールで用いられても良い。この場合、軸方向の正味の力F_pilは、中心位置で約ゼロとなる。また、供給圧力SPから反対力F_subを得ることにより、反対力F_subとパイロット力F_pilの両方が、変化し得る供給圧力SPと対応し、これにより、供給圧力がバランス化された構成が提供される。
以下、図3および図5Aを参照して、実施例によるバランス化されたポペットタイプのバルブ組立体における力の例について説明する。ステムは、パイロット力F_pilと、反対力F_subとにより作動される:
Figure 0006799075
供給圧力SPは、対称ライン200で定められた直径Dを有する領域を貫通するステム203に影響を及ぼし、これにより、反対力F_subが提供される:
Figure 0006799075
供給圧力は、対称ライン200の外側のポペットリングPR3の領域にも影響を与えるが、静止状況では、この領域は、同じ直径(対称ライン200の位置)で開始、終了する。従って、対称ライン200の外側の全体の力は、ほぼ0ニュートンとなる。
しかしながら、本願発明者らは、供給圧力流体が供給チャンバ202Cから、開放メータリングエッジPR3/PS3を介してアクチュエータチャンバ202Dに流れるような動的状況では、供給圧力SPが突発的に低下する場合があり、これにより、軸方向の反対力F_subが低下し、軸方向の正味の力F_totが増加することを観測した。正味の力F_totが増加すると、ステム203が上方に移動し、これによりメータリングエッジの開放がより顕著となり、供給圧力SPがさらに低下する。この事象の連鎖は、新たな平衡が得られるまで繰り返される。ステム203の移動は、従って、ある程度制御不能となる。ある環境では、事象の連鎖は、ステム203が完全に開の位置になるまで、繰り返される。バルブ位置調整器のような制御器は、多くの空気を移動させることで、(パイロット圧力制御により、F_pilを低下させることにより)ステムを「プルバック」することができる。しかしながら、通常、制御器は、これを十分高速かつ正確に実施することはできない。
本発明の態様は、流体バルブ組立体において、ステムの不安定な動きまたは制御不能を回避する。
本発明のある態様では、供給チャンバは、シール部材により、外側供給チャンバと内側供給チャンバの2つの異なるチャンバに分割される。内側チャンバは、ステムの動作に使用され、外側チャンバは、供給圧力ラインに接続され、アクチュエータチャンバへの供給に使用される。内側チャンバには、供給圧力の変動を安定化する手段も提供される。
図6、7、8には、別の実施例による流体バルブ組立体60が概略的に詳しく示されている。基本的に、バルブ組立体60は、前述のバルブ組立体20の全ての特徴を有しても良い。図6には、一部の追加の特徴を除き、図3におけるバルブ組立体20と実質的に等しいバルブ組立体60を示す。図7には、細部が一部省略され、簡略化された概略的なバルブ組立体60の一例を示す。図8には、内側チャンバに、異なる種類の供給圧力変動を安定化させる手段を有する、別の概略的なバルブ組立体60の例を示す。図6、7、8、ならびに図2A、2B、2C、3、4A、4B、4C、5Aおよび5Bにおいて、同様の参照符号は、同じまたは対応する素子、構造、機能、および特徴を表す。流体バルブ組立体20と関連する本願に記載のものと同じ原理が適用される。
図6、7、8に示す実施例では、供給チャンバは、分離またはシール部材603により、外側供給チャンバ202Cおよび内側供給チャンバ202Eの、2つの異なるチャンバに分割される。内側チャンバ202Eは、ステム202を作動するために使用され、外側チャンバ202Cは、供給圧力ライン(図示されていない)に接続され、アクチュエータチャンバ202Dに供給するために使用される。シールホルダ602のような、分離または分割壁が提供され、逆ピストン位置203Aのような、ステム203の端部が取り囲まれる。シールホルダ602は、閉止上部端とともにチャンバを定めても良い。ステム203は、チャンバの底部端からチャンバ内に延伸し、チャンバ内で軸方向に移動可能である。シールホルダ602の半径方向内側のプロファイルの少なくとも一部は、逆ピストン203Aの半径方向外側のプロファイルと緊密に、または緩やかに適合するように配置される。図6、7に示した実施例では、シールホルダ602の内表面には、環状溝もしくは環状フランジ、またはシール部材603を保持する他の任意の構造が提供されても良い。これにより、シール部材603は、軸方向に移動するステム203に対して、静止状態となる。図6および7に示した実施例では、シール部材603は、逆ピストン203Aの外表面にリップを押し付けるリップシールである。ただし、シール部材603用に、リングシール、シールダイアフラム、シールベロー、気密フィットなどのような、いかなる他の種類のシールまたは分離素子を使用しても良い。シールまたは分離部材603のため、ある程度の空気リークは許容され得る。
逆ピストン203Aの上端、シールホルダ602およびシール部材603内で軸方向に移動可能に配置されたステム203の端部は、シール部材の合わせ外表面を有する。軸方向における合わせ外表面の長さは、少なくとも、ピストン203Aの軸方向の移動またはストロークの長さであることが好ましい。本発明の一実施形態では、ピストン203Aの上面に、ステム203の反対端部に配置されたバネのような、弾性予備付勢素子212用の凹部が提供される。これは、不具合の際、例えば、供給圧力SPまたは電力が消失した際に、バルブを安全位置に駆動するために設けられる。弾性素子212がステム203内に部分的に含まれることにより、弾性素子212が十分に強くなり、バルブ本体201の軸方向の寸法の実質的な増加を必要とせずに、ステムの表面と対応する十分な長さが可能になる。図6に示した例では、円筒状フランジ604は、ピストン203Aの上端に設けられ、弾性素子212の凹部が定められる。フランジ604の外側放射表面は、軸方向においてピストン203Aの合わせ表面の少なくとも一部を提供する。図7に示した実施形態では、フランジ604または凹部がない逆ピストン203Aが示されている。
あるいは、ステム203、またはより具体的には、逆ピストン203Aには、シール部材203と同様のシール部材を保持する、溝のような構造が提供されても良い。そのような場合、シール部材は、分割壁602で定められるチャンバ内の逆ピストン203とともに、軸方向に移動する。リップシールのリップのようなシール部材は、分割壁602の合わせ内側表面に押し付けられる。
本発明の一態様では、内側チャンバ202Eに保持される供給圧力SPの変動が安定化される。本発明の一実施形態では、図6、7に示す制限されたフロー経路605のような、制限されたフロー経路が、内側供給チャンバ202Eと外側供給チャンバ202Cとの間に設けられても良い(実際の外側チャンバ202Cに近い供給圧力チャネルSの区画を含む)。制限されたフロー経路605により、外側チャンバ202Cにおける突然の供給圧力の変動が、内側チャンバ202Eから除去され、外側チャンバ202Cにおけるより遅いまたは恒久的な供給圧力変化が、内側チャンバ202Eを通過する。従って、内側チャンバ202Eにおける安定化された供給圧力が制御され、安定な方法で、外側チャンバ202Cにおける供給圧力の任意の変動に追従し、バルブステム203の急激な制御不能な動きまたはオーバーシュートが回避される。
例えば、供給圧力流体が、開放メータリングエッジPR3/PS3を介して、外側供給チャンバ202Cからアクチュエータチャンバ202Dに流れた際に、外側チャンバ202Cにおける供給圧力が突然低下した場合、例えば、制限されたフロー経路605は、所定の速度で、外側供給圧力チャンバにおける供給圧力SPに対して、内側供給圧力チャンバ202Eに保持される安定化供給圧力SPを抑制するように配置されても良い。同様に、外側供給チャンバ202Cにおける供給圧力が、内側供給圧力チャンバに保持された安定化供給圧力よりも高くなった場合、制限されたフロー経路605は、所定の速度で、内側供給圧力チャンバ202Eに保持された安定化供給圧力SPを高めるように配置されても良い。また、まず、供給圧力SPがバルブ組立体60に印加され、制限されたフロー経路605は、供給圧力が内側供給チャンバ202Eに達するようにしても良い。また、ある時間点でユーザが供給圧力を高めた場合、制限されたフロー経路605により、高められた供給圧力が内側供給チャンバに得られる。また、ある時間にわたって、ユーザが供給圧力を低下させた場合、内側供給チャンバの供給圧力を低下するため、制限されたフロー経路605により、内側供給チャンバから空気が得られる。
図6および7を参照して、実施例による内側チャンバおよび外側供給圧力チャンバを有する、バランス化ポペットタイプのバルブ組立体における力の例を検証する。ステム203は、パイロット力F_pilおよび反対力F_supにより作動される:
Figure 0006799075
単一の供給チャンバを有する実施例とは異なり、ステム203に影響を及ぼす供給圧力は、内側チャンバ202Eに保持された安定化された供給圧力である。この場合も、安定化供給圧力SPは、対称ライン200で定められた直径Dを有する領域を介して、ステム203に影響を及ぼす。これにより反対力F_subが提供される:
Figure 0006799075
この場合も、安定化された供給圧力は、対称ライン200の外側のポペットリングPR3の領域に影響を及ぼすが、静止状態では、この領域は、同じ直径で(対称ライン200で)開始、終了し、従って、対称ライン200の外側の領域からの全力は、約0ニュートンとなる。
しかしながら、供給チャンバ202から、開放メータリングエッジPR3/PS3を介して供給圧力流体がアクチュエータチャンバ202Dに流れ、外側チャンバ202Cにおいて供給圧力SPが突然低下する動的状況では、安定化された供給圧力は、実質的に一定または未変化で、内側チャンバに保持される。従って、ステム203に影響を及ぼす力F_supは、実質的に未変化または一定のままであり、これは、パイロット力F_pilが変化するまで、ステム203およびメータリングエッジPR3/PS3が所定の位置に留まることを意味する。制御器は、ステム203を時折「引き戻す」必要はないため、制御器は、一つの供給圧力チャンバを有する実施例に比べて、より積極的に制御方法を実行することが可能となる。
ある実施形態では、図9に示すように、制限されたフロー経路605は、フロー制限器と呼ばれる、制限オリフィス(RO)のような、細いまたは小直径の区画605Aを有しても良い。制限オリフィスの領域(すなわち直径)は、特定の圧力および温度の所与の処理流体の出口の流速を定める。制限オリフィスは、主として、処理媒体の制御されたまたは制限された流れを得るために使用される。オリフィスは、上流から下流までの圧力ヘッド低下および処理フローの制限を提供する。一実施形態では、制限オリフィス605Aは、約0.1mmから約0.5mmのオリフィス直径を有することが好ましく、これは約0.2mmから約0.3mmであることがより好ましい。最も単純化された形態では、制限されたフロー経路605または制限器オリフィスは、分割壁またはシールホルダ602の小穴、構造間、例えばバルブ本体201と分割壁602の間の小穴または隙間、シール部材603での設計リークポイントなど、を有しても良い。制限器は、バルブ本体201または分離壁602に挿入された、分離素子であっても良い。これにより、特殊なツールおよび技術を用いて、極めて小さな直径のオリフィスを製作することが可能となり、例えば、バルブ本体201または分離壁602の大きな穴またはチャネルに、既製品の制限器を挿入することができる。制限されたフロー経路605および/または制限器の一部は、バルブ本体201の外側に配置されても良い。
ある実施形態では、シール部材603は、環状リップシールであっても良い。これは、チェックバルブのように、一方向のみにおいて圧力を維持するように構成される。外側供給チャンバ203C内の圧力が、内側供給チャンバ202Eに保持された安定化された供給圧力よりも低下した場合(リップは、圧力差により、合わせ表面に対して押し付けられる)、リップシール603は、外側供給チャンバ202Cから内側供給圧力チャンバ202Eをシールするように配置され、外側供給チャンバ202C内の供給圧力が、内側供給チャンバ202Eに保持された安定化された供給圧力よりも高くなった場合(リップは、圧力差により、合わせ表面から離れる)、外側供給チャンバ202Cからの供給圧力を内側供給チャンバ202Eに通し、内側供給チャンバ202E内に保持される安定化された供給圧力を高めるように配置されても良い。そのような配置では、内側チャンバから外側チャンバの方向において、流れが制限される。そのような配置は、制限されたフロー経路605の実施の方法にかかわらず、行われても良い。
ある実施形態では、チェックバルブ805を有する供給経路805Aは、制限器605と並列に提供され、図8に示したリップシールにより提供される効果と同様の効果が提供される。あるいは、圧力を一方向のみに保持するように構成された、任意の他の種類のフロー制御装置を使用しても良い。ただし、そのような一方向フロー制限は、特に、同じ供給圧力から、パイロット力F_pilおよび反対力F_supが形成される場合、ステムの好ましくない急激な移動を生じさせるおそれがある。従って、そのような配置は、多くの用途において好ましくない。
ある実施形態では、事前ステージPRが提供され、パイロット圧力チャンバ210におけるパイロット圧力が制御され、これにより、図10Aおよび10Bに概略的に示すように、軸方向のパイロット力が制御される。事前ステージPRは、パイロット圧力チャンバ210におけるパイロット圧力を、例えば、バルブまたはフラップを用いて制御しても良い。供給圧力空気のこの量は、環境側に分岐され、この空気の量は、パイロット圧力入口906を介して、パイロット圧力チャンバ210に誘導される。最小のパイロット圧力が得られ、その後、フラップまたはバルブは、開の位置にされ、これは、0.5mmの直径のような、所定の制限オリフィスに対応する。閉止方向において、フラップまたはバルブが駆動されると、制限オリフィスは、より小さくなり、パイロット圧力が上昇し、最終的にフラップまたはバルブは、閉止位置となり、最小のまたは0の制限オリフィス、および最大のパイロット圧力となる。通常、事前ステージPRに供給される供給圧力は、制限され、パイロット圧力の所望の制御範囲のため、供給圧力が予備計測される。制御範囲を設定するためのフロー制限は、例えば0.2mmの制限器オリフィスに対応しても良い。
図10Aに示すような一実施形態では、制限されたフロー経路905は、外側供給チャンバ202C(外側供給チャンバ202Cに近い供給圧力入口Sを含む)から事前ステージPRに提供される。また、制限されたフロー経路905により、パイロット圧力の制御範囲の設定のため、供給圧力のフロー制限が実施される。制限されたフロー経路905は、狭小のまたは小直径の区画905Aを有しても良い。これは、制限器605と同様の方法で、実施されても良い。制限されたフロー経路905により、事前ステージPRでの供給圧力SPの変動が安定化される。制限されたフロー経路905により、事前ステージPRでの供給圧力から、およびパイロット圧力チャンバ210に保持されるパイロット圧力から、外側チャンバ202Cにおける突発的な供給圧力変動が除去される。一方、遅いまたは恒久的な外側チャンバ202Cにおける供給圧力の変化は、パイロット圧力チャンバ210を通る。制限されたフロー経路605および別の制限されたフロー経路905は、外側チャンバ202Cにおける供給圧力SPの変化が、内側供給チャンバ202Eを通る反対力F_sup、およびパイロット圧力チャンバを通るパイロット力F_pilotと同様の速度で影響するように寸法化される。正味の力F_totの変化は、ゼロであり、または極めて小さい。反対力F_subとパイロット力F_pilotが変化する速度に差がある場合、正味の力F_totおよびステム203の好ましくない移動において、力のピークが生じる。ある実施形態では、図10Bに示したような実施形態では、外側供給チャンバ202C(外側供給チャンバ202Cに近い供給圧力入口Sを含む)から、内側供給チャンバ202Eまで、チェックバルブ1005Aを有する供給フロー経路1005が提供されても良い。あるいは、一方向のみにおいて、圧力を維持するように構成される、任意の他の種類のフロー制御装置1005Aを使用しても良い。外側供給チャンバ203Cにおける圧力が、内側供給チャンバ202Eにおいて保持された安定化供給圧力未満まで低下する場合、チェックバルブ1005Aは、内側供給チャンバ202Eから、外側供給チャンバ202Cへの流れをブロックするように構成されても良い。また、外側供給チャンバ202C内の供給圧力が、内側供給チャンバ202Eに保持された安定化供給圧力よりも高い場合、供給圧力が外側供給チャンバ202Cから内側供給チャンバ202Eに通過するように配置し、内側供給チャンバ202Eに保持される安定化供給圧力を高めても良い。そのような配置では、流れは、内側チャンバから外側供給チャンバの方向に制限される。また、制限されたフロー経路905は、内側供給チャンバ202E(チェックバルブ1005Aと内側供給チャンバ202Eの間の、供給フロー経路1005区画を含む)から事前ステージPRまで提供されても良い。また、制限されたフロー経路905により、パイロット圧力の制御範囲を設定するため、供給圧力のフロー制限が実施されても良い。制限されたフロー経路905は、狭小のまたは小直径の区画905Aを有し、これは、例えば図9に示すような制限器として実施されても良い。制限されたフロー経路905が内側供給チャンバ202Eに接続されることにより、事前ステージPRでの供給圧力SPは、内側供給チャンバにおける安定化供給圧力に追随し、軸方向の正味の力F_totは、供給圧力の変動に影響されなくなる。外側チャンバ202Cにおける突発的な供給圧力の低下は、内側供給チャンバ202Eから、事前ステージPRでの供給圧力から、およびパイロット圧力チャンバ210に保持されたパイロット圧力から、除去される。事前ステージPRから環境側に、徐々に圧力がリークすると、内側供給チャンバ202E内の安定化供給圧力は、徐々に、外側チャンバ202C内の供給圧力よりも低くなり、チェックバルブ1005Aは、再度、外側供給チャンバ202Cから内側供給チャンバ202Eに、供給圧力を通すようになる。従って、図10Aにおけるフロー経路605のような、任意の別の制限されたフロー経路は、必要ではなくなる。
本発明の実施例は、任意の流体圧力作動アクチュエータの制御に適用できる。本発明の実施形態は、特に、個々の処理プロセス用の任意の自動システムにおける、制御バルブ、シャットオフバルブ、スクリーンのような処理装置のアクチュエータの制御に利用可能である。
図11には、プロセス自動化システムの一例の概略的なブロック図を示す。バルブ位置制御器に、本発明の原理が適用され得る。通常、制御システムブロック75は、任意の、および全ての制御室コンピュータ/プログラム、処理制御コンピュータ/プログラム、ならびにデータベースを表し、これらは、自動システム内で、ファクトリーLAN74により相互接続されても良い。制御システム用の各種アーキテクチャがある。例えば、制御システムは、直接デジタル制御(DDC)システムまたは分配制御システム(DCS)であっても良い。これらは、良く知られている。
図11の例では、一つの制御された処理バルブのみが示されているが、自動化システムは、しばしば数百の制御バルブのような、いかなる数のフィールド装置を有しても良い。プラント領域における制御バルブのような、フィールド装置と制御システムとの間に相互接続を配置する、様々な別の方法がある。図10において、フィールド/処理バス73は、通常、そのような任意の相互接続を表す。通常、フィールド装置は、2配線ツイストペアループにより、制御システムに接続され、各装置は、4から20mAのアナログ入力信号を提供する単一のツイストペアにより、制御システムに接続される。近年、制御システムには、ツイストペアループにおける従来の4から20mAのアナログ信号とともに、デジタルデータの送信が可能となる、ハイウェイアドレス可能遠隔トランスデューサ(HART)プロトコルのような、新たな対応策が使用されている。HARTプロトコルは、例えば、HART出版フィールド通信プロトコル:ユーザおよび生産者のための手引き、HART通信ファンデーション、1995年に、詳しく記載されている。また、HARTプロトコルは、産業標準化に向けて開発されている。他のフィールドバスの例には、ファンデーションフィールドバスおよびプロフィバスPAが含まれる。ただし、フィールド/処理バス73の種類または実施例は、本発明には関係しないことを理解する必要がある。フィールド/処理バス73は、前述の代替物のいずれか、もしくはこれらの任意の組み合わせ、または任意の他の実施例に基づいても良い。
処理バルブ71および位置制御器/アクチュエータ72は、処理プロセスに接続され、処理パイプライン76における物質の流れを制御しても良い。材料の流れは、流体、溶液、液体、気体、および蒸気のような、任意の流体材料を含んでも良い。
図12には、空圧アクチュエータ72Bにより、バルブ位置調整器72Aの制御下で、処理バルブ71が作動する配置例を示す。処理バルブ71の一例は、Metso社からのNeles(登録商標)RotaryGlobe制御バルブである。本発明の実施例で適用され得るバルブ位置調整器72Aの一例は、Metso社からのNeles(登録商標)ND9000知能バルブ制御器である。アクチュエータ72Bの一例は、Metso社からのQuadra-PowrXシリーズ空圧アクチュエータである。
バルブ制御器72Aのような知能バルブ制御器の作動は、マイクロプロセッサ(μP)のような、マイクロコントローラに基づき、これは、フィールド接続ラインまたはフィールドバス73から得られる制御情報に基づいて、バルブの位置を制御する。バルブ制御器には、バルブ位置測定が提供されることが好ましく、これに加えて、加圧空気の供給圧力、アクチュエータピストンにわたる圧力差、または温度のような、多くの他の変数を測定することも可能である。これは、バルブの自己診断に必要であり、あるいはバルブ制御器は、制御室コンピュータ、処理制御器、状況モニタリングコンピュータ、または同様のフィールドバスを介した自動化システムの高レベルユニットに、処理された診断情報を送信する。
図13には、バルブ制御器72Aのような、マイクロコントローラ系の知能バルブ制御器のブロック図の例が示されている。ダブル作動アクチュエータ用のバルブ制御器の一例が記載されているが、同様に、5/3バルブ組立体20の代わりに、不要な構造および機能を排除して、本発明の実施形態による3/2バルブ組立体60を使用して、シングル動作アクチュエータ用のバルブ制御器を実施しても良い。
制御器は、電気制御出力90および空圧ユニット20、93を有する電子ユニット91を有しても良い。電子ユニット91は、電気制御信号90を採取し、これを、アクチュエータ72Bに接続されたアクチュエータポートC1、C2で、対応する流体圧力出力P1、P2変換する。空圧ユニットは、事前ステージ93および出力ステージ20を有しても良い。出力ステージ20は、本発明の実施形態によるダブル動作アクチュエータ用の、いかなる流体バルブ組立体20であっても良い。事前ステージ93は、出力ステージ20を制御する上で十分な、電気制御信号90の、小さなパイロット空圧制御信号95への電気-圧力(I/P)変換を実行する。出力ステージ20の供給ポートSは、供給空気圧力に接続される。出力ステージ20は、アクチュエータポートC1、C2において、小さな空圧パイロット信号を大きな空圧出力信号96、94に増幅する。装置は、ローカル構成が可能となるローカルユーザインターフェース(LUI)を有しても良い。マイクロコントローラ11は、バルブ位置を制御する。このため、マイクロコントローラ91は、プロセッサ/フィールドバス93にわたって、4−20mAペアおよびHARTのような入力信号(設定点)を受信し、各種測定を実行しても良い。装置は、4−20mAまたはフィールドバスから給電されても良い。マイクロコントローラ91は、入力信号およびバルブ位置センサ92を読み取っても良い。また、マイクロコントローラは、供給圧力センサPs、第1のアクチュエータ圧力センサP1、第2のアクチュエータ圧力センサP2、および出力ステージ位置センサSPSの1または2以上を読み取っても良い。入力信号により定められた設定点、位置センサ92により測定された位置との間の差は、マイクロコントローラ91の内部の制御アルゴリズムにより検出されても良い。マイクロコントローラ91は、入力信号およびセンサからの情報に基づき、事前ステージ(PR)コイル電流90用の新たな値を計算する。PRへの電流90が変化すると、出力ステージ20へのパイロット圧力95が変化する。前述の本発明の実施形態に関して示したように、パイロット圧力95が出力ステージのステム203を動かすと、これに従って、アクチュエータポートC1、C2でのアクチュエータ圧力が変化する。パイロット圧力95が所定の値になり、ステム203が中心化され、メータリングエッジ(ポペットリング)を通る全てのフローチャネルが閉止されると、アクチュエータ72Bは、所定の位置に留まる。パイロット圧力95が所定の値から上昇すると、ステム203は、正の方向に移動し、供給ポートSからアクチュエータポートC2に空気が流れ、さらに、ここから、ダブルダイアフラムアクチュエータ72Bの片側(下側)に、空気が流れ、ダブルダイアフラムアクチュエータ72Bの反対側は、アクチュエータポートC1を介して、排気ポートX1にベントされる。アクチュエータは、完全に(100%)開の方向に移動する。より具体的には、上昇圧力が、ダイアフラムピストン98を上方に動かす。アクチュエータおよびフィードバックシャフト99が回転する。位置センサ92は、マイクロコントローラ91の回転を測定する。マイクロコントローラ91は、入力信号によるアクチュエータ90の新たな位置に到達するまで、PR-電流90を定常状態の値から調整する。反対方向における制御バルブの動き(移動)は、パイロット圧力95が減少することにより、ステム203が反対の方向(下方、0%の方向)に移動することにより得られる。アクチュエータポートC2は、排気ポートEX2に接続され、アクチュエータポートC1は、空圧供給ポートSに接続される。示されたバルブ制御器は単なる一例であり、本発明がいかなる特定のバルブ制御器の実施例にも限定されないことは明らかである。
記載および関連する図面は、例示により、単に本発明の原理を示すことを意図する。この記載に基づく各種代替例、変形例、および変化は、当業者には明らかである。本発明は、記載された例に限定されることを意図するものではなく、本発明は、添付の特許請求の範囲の範囲および思想内で変更し得る。

Claims (15)

  1. 油圧または空圧アクチュエータに、加圧下で、アクチュエータ流体圧力を提供するための、流体の供給部に接続される流体バルブ組立体であって、
    中心ボアを有するバルブ本体であって、前記中心ボアは、供給圧力入力ラインに接続された供給圧力チャンバ、アクチュエータチャンバ、および排気チャンバを有する、バルブ本体と、
    前記中心ボア内で、軸方向に可動なステムであって、該ステムに影響を及ぼす軸方向のパイロット力および軸方向の反対力により作動される、ステムと、
    前記ステムと同軸に配置され、前記ステムにより制御される、メータリングエッジ(metering edge)および反対作用メータリングエッジであって、それぞれ、前記供給圧力チャンバから前記アクチュエータチャンバに、および前記アクチュエータチャンバから前記排気チャンバに、流体フローを制御するように配置された、メータリングエッジおよび反対作用メータリングエッジと、
    前記供給圧力チャンバを外側供給圧力チャンバと内側供給圧力チャンバとに分割する、前記ステムと同軸に配置されたシール部材と、
    を有し、
    前記内側供給圧力チャンバは、前記内側供給圧力チャンバ内で前記ステムに影響を及ぼす前記軸方向の反対力を提供する供給圧力により前記ステムを駆動し、前記外側供給圧力チャンバは、前記供給圧力入力ラインに接続され、前記アクチュエータチャンバに供給するように構成され、当該流体バルブ組立体は、さらに、前記内側供給圧力チャンバ内の前記供給圧力を安定化する、前記内側供給圧力チャンバと前記外側供給圧力チャンバとの間の制限されたフロー経路であって、前記外側供給圧力チャンバから前記内側供給圧力チャンバへの前記供給圧力の急激な変化の伝搬を抑制し、これにより前記ステムの制御不能な軸方向の動きを抑制する制限されたフロー経路を有する、流体バルブ組立体。
  2. 前記制限されたフロー経路は、所定の速度で、前記内側供給圧力チャンバに保持された前記安定化された供給圧力を、前記外側供給圧力チャンバ内の供給圧力まで低下させるように配置される、請求項1に記載の流体バルブ組立体。
  3. 前記外側供給圧力チャンバ内の前記供給圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも高い場合、前記制限されたフロー経路は、所定の速度で、前記内側供給圧力チャンバに保持された前記安定化された供給圧力を高めるように配置される、請求項1に記載の流体バルブ組立体。
  4. 前記制限されたフロー経路は、フロー制限器を有する、請求項1に記載の流体バルブ組立体。
  5. 前記フロー制限器は、フローオリフィス制限器であり、約0.1mmから約0.5mmのオリフィス直径を有する、請求項4に記載の流体バルブ組立体。
  6. 前記制限されたフロー経路は、チェックバルブまたはフロー制御装置を有し、
    該制御装置またはチェックバルブは、前記外側供給圧力チャンバ内の圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも低下した場合、前記内側供給圧力チャンバと前記外側供給圧力チャンバの間の供給圧力フロー経路をブロックするように構成され、そうでない場合、前記供給圧力フロー経路を開にするように配置される、請求項1に記載の流体バルブ組立体。
  7. 前記シール部材は、リップシール、リングシール、シールダイアフラム、またはシールベローを有する、請求項1乃至4のいずれか一つに記載の流体バルブ組立体。
  8. 前記シール部材は、環状リップシールであり、
    該環状リップシールは、
    前記外側供給圧力チャンバ内の圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも低下した場合、前記内側供給圧力チャンバを、前記外側供給圧力チャンバからシールするように配置され、
    前記外側供給圧力チャンバ内の前記供給圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも高くなった場合、前記外側供給圧力チャンバから前記内側供給圧力チャンバに前記供給圧力を通し、前記内側供給圧力チャンバに保持された前記安定化された供給圧力を高めるように配置される、請求項1に記載の流体バルブ組立体。
  9. 前記外側供給圧力チャンバの供給圧力入口から、パイロット圧力を制御する事前ステージまでの、別の制限されたフロー経路を有し、
    これにより軸方向のパイロット力が制御される、請求項1に記載の流体バルブ組立体。
  10. 前記制限されたフロー経路、および前記別の制限されたフロー経路は、前記外側供給圧力チャンバ内の前記供給圧力の変化による、前記軸方向の反対力の変化の速度と、前記パイロット力の変化の速度とが、ほぼ等しくなるように寸法化される、請求項9に記載の流体バルブ組立体。
  11. 前記制限されたフロー経路は、チェックバルブまたはフロー制御装置を有し、
    該チェックバルブまたはフロー制御装置は、
    前記外側供給圧力チャンバ内の供給圧力が、前記内側供給圧力チャンバに保持された前記安定化された供給圧力よりも低下した場合、前記内側供給圧力チャンバと前記外側供給圧力チャンバとの間の供給圧力フロー経路をブロックするように構成され、
    そうでない場合、前記供給圧力フロー経路を開にするように配置され、
    さらに、可撓性素子により支持された前記内側供給圧力チャンバから、前記バルブ本体上のそれぞれの合わせシート表面及び前記ステムまでの、さらなる制限されたフロー経路を有し、
    前記メータリングエッジおよび前記反対作用メータリングエッジの他方は、可撓性素子により、前記バルブ本体、および前記ステム上の前記それぞれの合わせシート表面に支持されたポペットリングを有し、
    支持配置により、前記それぞれのメータリングエッジの閉止状態において、前記ポペットリングと前記支持バルブ本体またはステムとの相対的な軸方向の移動が可能になる、
    請求項1に記載の流体バルブ組立体。
  12. 前記可撓性素子の各々は、シールダイアフラムまたはシールベローを含む、請求項11に記載の流体バルブ組立体。
  13. 処理バルブ位置制御器であって、
    電気制御出力を備える電子ユニットと、
    前記電気制御出力を、アクチュエータへの対応する流体圧力出力に変換するように配置された空圧または油圧ユニットと、
    を有し、
    前記空圧または油圧ユニットは、さらに、請求項1乃至12のいずれか一つに記載の流体バルブ組立体を有する、処理バルブ位置制御器。
  14. 前記空圧または油圧ユニットは、事前ステージおよび出力ステージを有し、
    前記事前ステージは、前記電気制御出力を、前記出力ステージの制御に十分なパイロット圧力に変換するように配置され、
    前記出力ステージは、請求項1乃至12のいずれか一つに記載の流体バルブ組立体を有する、請求項13に記載の処理バルブ位置制御器。
  15. 処理バルブの制御の際の、請求項1乃至12のいずれか一つに記載の流体バルブ組立体の使用。
JP2018551436A 2016-03-30 2017-03-29 流体バルブ組立体、処理バルブ位置調整器、および処理バルブの制御下での流体バルブの使用 Active JP6799075B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FI20165267A FI128617B (en) 2016-03-30 2016-03-30 Fluid valve arrangement, use of process valve positioning and fluid valve arrangement in process valve control
FI20165267 2016-03-30
PCT/FI2017/050219 WO2017168049A1 (en) 2016-03-30 2017-03-29 Fluid valve assembly, process valve positioner and use of a fluid valve assembly in control of a process valve

Publications (2)

Publication Number Publication Date
JP2019510180A JP2019510180A (ja) 2019-04-11
JP6799075B2 true JP6799075B2 (ja) 2020-12-09

Family

ID=59962666

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018551436A Active JP6799075B2 (ja) 2016-03-30 2017-03-29 流体バルブ組立体、処理バルブ位置調整器、および処理バルブの制御下での流体バルブの使用

Country Status (9)

Country Link
US (1) US10968924B2 (ja)
EP (1) EP3436725B1 (ja)
JP (1) JP6799075B2 (ja)
KR (1) KR102222662B1 (ja)
CN (1) CN109154403B (ja)
BR (1) BR112018070031B1 (ja)
FI (1) FI128617B (ja)
RU (1) RU2698377C1 (ja)
WO (1) WO2017168049A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022122546A1 (de) * 2022-09-06 2024-03-07 Samson Aktiengesellschaft Elektropneumatischer Stellungsregler für einen pneumatischen Stellantrieb und Stellgerät umfassend einen Stellantrieb und einen elektropneumatischen Stellungsregler
CN117005964A (zh) * 2023-08-04 2023-11-07 南昌航空大学 一种基于弹性膜缩放的粉末流量调节装置

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2866476A (en) * 1952-04-03 1958-12-30 British Messier Ltd Electro-magnetically operated control valves
SU126697A1 (ru) * 1957-03-06 1959-11-30 Ю.В. Апраксин Мембранный четырехходовой клапан
DE1500297A1 (de) 1965-04-21 1969-04-10 Werner & Pfleiderer Steuerschieber,insbesondere fuer in dichter Folge arbeitende hydraulische Pressen-Anlagen
CH431217A (fr) 1965-11-11 1967-02-28 Lucifer Sa Valve à clapet
CH499739A (fr) 1969-04-22 1970-11-30 Lucifer Sa Valve à clapets
US3785392A (en) 1971-10-21 1974-01-15 Eaton Corp Flow control valve
NL7302575A (ja) 1972-03-01 1973-09-04
GB1423119A (en) * 1973-06-08 1976-01-28 Rydbergs Valve
DE2509716C2 (de) 1975-03-06 1982-12-16 Festo-Maschinenfabrik Gottlieb Stoll, 7300 Esslingen 5-Wege-Steuerventil
JPS5231930U (ja) 1975-08-27 1977-03-05
JPS5229582A (en) 1975-09-01 1977-03-05 Oji Seiki Kogyo Kk Remote control device using oil pressure bridge circuit
DE2553250C3 (de) * 1975-11-27 1981-10-29 Festo-Maschinenfabrik Gottlieb Stoll, 7300 Esslingen Membranbetätigtes 5/2-Mehrwegeventil
SE406631B (sv) 1977-10-03 1979-02-19 Joelson Karl Evert Anordning for styrning av tryckfluidflode
FR2452647A1 (fr) 1979-03-26 1980-10-24 Renault Servo-valve
US4445333A (en) * 1981-07-16 1984-05-01 General Motors Corporation Valve assembly
GB2154707B (en) 1984-02-22 1988-09-14 Ross Operating Valve Co Inline poppet valve
US4574844A (en) 1984-11-13 1986-03-11 Mac Valves, Inc. Four-way poppet valve
US5042832A (en) 1988-01-29 1991-08-27 Nissan Motor Company, Limited Proportioning valve assembly and actively controlled suspension system utilizing the same
JPH0544626Y2 (ja) 1988-05-25 1993-11-12
JPH02129483A (ja) 1988-11-09 1990-05-17 Aisin Aw Co Ltd 圧力調整弁
DE4035817A1 (de) 1990-11-10 1992-05-14 Bosch Gmbh Robert Elektromagnetbetaetigtes ventil, insbesondere fuer hydraulische bremsanlagen von kraftfahrzeugen
JPH04201616A (ja) 1990-11-30 1992-07-22 Nissan Motor Co Ltd 能動型サスペンション用圧力制御弁
US5261458A (en) 1992-04-28 1993-11-16 Allied-Signal Inc. Pilot relay valve with load piston
DE4214661A1 (de) 1992-05-02 1993-11-04 Bosch Gmbh Robert Elektrohydraulische stelleinrichtung
SE470408C (sv) 1992-07-07 1997-02-19 Atlas Copco Rock Drills Ab Slagverk
US5549137A (en) 1993-08-25 1996-08-27 Rosemount Inc. Valve positioner with pressure feedback, dynamic correction and diagnostics
US5562125A (en) 1995-09-26 1996-10-08 Caterpillar Inc. Two stage electrohydraulic pressure control valve
JP2912867B2 (ja) 1996-02-29 1999-06-28 シーケーディ株式会社 スプール弁タイプの機械操作弁
US5913577A (en) 1996-12-09 1999-06-22 Caterpillar Inc. Pilot stage of an electrohydraulic control valve
US5899231A (en) 1996-12-30 1999-05-04 Drori; Mordecki Automatic three-way valve
JPH10288273A (ja) 1997-04-15 1998-10-27 I Ee H:Kk バルブ開閉機構
US6272401B1 (en) 1997-07-23 2001-08-07 Dresser Industries, Inc. Valve positioner system
JP4212187B2 (ja) 1999-06-25 2009-01-21 アドバンスド エナジー ジャパン株式会社 排気装置の圧力制御システム
US6276385B1 (en) 2000-06-09 2001-08-21 Fisher Controls International, Inc. Plug and seat positioning system for control applications
JP2002081557A (ja) 2000-09-07 2002-03-22 Advance Denki Kogyo Kk 混合比率制御弁構造
JP2002243059A (ja) 2001-02-19 2002-08-28 Smc Corp 流体圧力調整装置
WO2005106256A1 (en) 2004-04-16 2005-11-10 Fisher Controls International Llc Asymmetric volume booster arrangement for valve actuators
RU2312266C2 (ru) * 2005-09-09 2007-12-10 ОАО "Пневмостроймашина" Регулирующий клапан и блок клапанов с ним
US20080169439A1 (en) 2006-12-18 2008-07-17 Borgwarner Inc. Integrated two-stage low-leak control valve
CA2746138C (en) * 2008-12-11 2018-05-22 Norgren Limited Method and apparatus for controlling a fluid operated actuator
US8522818B2 (en) 2009-03-30 2013-09-03 Sti Srl Booster valve
US9228334B2 (en) * 2011-12-19 2016-01-05 Defond Components Limited Liquid-operated actuator assembly, particularly for a flush toilet, and flush toilet incorporating the assembly
JPWO2014080664A1 (ja) 2012-11-21 2017-01-05 株式会社コガネイ 間欠エア吐出装置
FI126989B (fi) 2015-03-16 2017-09-15 Metso Flow Control Oy Virtaavan aineen venttiilikokoonpano, prosessiventtiilin asennoitin sekä virtaavan aineen venttiilikokoonpanon käyttö prosessiventtiilin ohjauksessa

Also Published As

Publication number Publication date
EP3436725A1 (en) 2019-02-06
US20190271334A1 (en) 2019-09-05
WO2017168049A1 (en) 2017-10-05
FI128617B (en) 2020-08-31
CN109154403A (zh) 2019-01-04
KR20190032273A (ko) 2019-03-27
JP2019510180A (ja) 2019-04-11
FI20165267A (fi) 2017-10-01
KR102222662B1 (ko) 2021-03-08
BR112018070031B1 (pt) 2023-04-18
BR112018070031A8 (pt) 2022-10-11
BR112018070031A2 (pt) 2019-02-05
RU2698377C1 (ru) 2019-08-26
EP3436725A4 (en) 2019-04-17
EP3436725B1 (en) 2021-10-13
US10968924B2 (en) 2021-04-06
CN109154403B (zh) 2021-11-12

Similar Documents

Publication Publication Date Title
KR102012116B1 (ko) 유체 밸브 조립체 및 프로세스 밸브 포지셔너
JP4694767B2 (ja) 制御に適用されるプラグとシートの位置決めシステム
KR20160040285A (ko) 압력식 유량 제어 장치 및 그 유량 제어 개시 시의 오버슈트 방지 방법
US20050278074A1 (en) Feedback control methods and apparatus for electro-pneumatic control systems
JP6799075B2 (ja) 流体バルブ組立体、処理バルブ位置調整器、および処理バルブの制御下での流体バルブの使用
KR20140022026A (ko) 공압 구동기 제어용 포핏밸브 조립체
EP3441624B1 (en) Generating two pneumatic signals to operate an actuator on a valve assembly
CN210050360U (zh) 继动阀和包括继动阀的系统
US9523376B2 (en) Discrete pilot stage valve arrangement with fail freeze mode
US20210262582A1 (en) Pneumatic valve positioner with feedback controlled flow booster
US20220349425A1 (en) Magnetic Force Compensator for a Pneumatic Positioner
CN112797168A (zh) 自平衡的比例流量阀
Love Valve Positioners
Beater Control of Actuators for Process Valves
GB2398858A (en) Fluid flow controller

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181126

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181126

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190821

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191001

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201006

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201027

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201119

R150 Certificate of patent or registration of utility model

Ref document number: 6799075

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250