JP6518770B2 - 頂点ベース補正を半導体デザインに適用する方法 - Google Patents

頂点ベース補正を半導体デザインに適用する方法 Download PDF

Info

Publication number
JP6518770B2
JP6518770B2 JP2017533835A JP2017533835A JP6518770B2 JP 6518770 B2 JP6518770 B2 JP 6518770B2 JP 2017533835 A JP2017533835 A JP 2017533835A JP 2017533835 A JP2017533835 A JP 2017533835A JP 6518770 B2 JP6518770 B2 JP 6518770B2
Authority
JP
Japan
Prior art keywords
correction
vertex
contour
design
simulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017533835A
Other languages
English (en)
Other versions
JP2018500771A (ja
Inventor
カグリオ,トマ
ミルカント,マチュー
ティフィーヌ,シャルル
Original Assignee
アセルタ ナノグラフィクス
アセルタ ナノグラフィクス
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アセルタ ナノグラフィクス, アセルタ ナノグラフィクス filed Critical アセルタ ナノグラフィクス
Publication of JP2018500771A publication Critical patent/JP2018500771A/ja
Application granted granted Critical
Publication of JP6518770B2 publication Critical patent/JP6518770B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Electron Beam Exposure (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

本発明は、特に、基板上にデザインを転写するためのマスクの電子または光リソグラフィ分野に適用され、基板またはマスク上にパターンを直接書き込むのに電子ビームが使用されるプロセスにも適用される。
e−ビームリソグラフィによってパターンを表面に転写する1つの方法は、可変成形ビームまたはVSBを使用してポジ型レジスト塗布またはネガ型レジスト塗布を浮き彫りにする方法である。そうするためには、破砕ステップの間に、放射線ドーズ量が指定される(「ショット」とも呼ばれる)基本形状にパターンが刻まれる。現在使用されている寸法(臨界寸法を用いる技術または25nm未満の「CD」)では近接効果(前方散乱および後方散乱)は露光領域の密度に大きく依存しているため、幾何学的形状とショットの放射線ドーズ量は密接な相互関係にある。
転写されるパターンは、多くの場合、細長い長方形(線)または正方形(結線)などの単純な幾何学的形状をしている。これらのパターンは、当技術分野では、「マンハッタンパターン」と呼ばれることが多い。現状では、ショットの幾何学的形状は適宜定義され、また単純なものであり、各パターンは長方形または正方形のショットを結合したものに破砕される。それでも、いくつかの用途(逆リソグラフィ、フォトニクス、計測キャリブレーションなど)には、前述のタイプの単純な形状ではなく、円形あるいは不定の、ことによると曲線の形状(フリーフォームとも呼ばれる)であり得るデザインパターンを含めることが必要または有利である場合がある。
当技術分野では、幾何学的形状補正および/またはドーズ量補正をショットに適用して、できるだけターゲットデザインに近いパターンの絶縁または開発セットを得ることが知られている。例えば、ショットのサイズとそのドーズ量の組み合わせの最適化が欧州特許第2559054号明細書で公開された欧州特許出願で開示されている。フリーフォームパターンの場合は、ショットによる様々なサイズの円形または疑似円形の転写は、本出願の出願人に譲受されているPCT/EP2013/053883号明細書で提出されたPCT出願で開示されている。
破砕前に補正を適用することも知られている。例えば、エッジベース補正はシミュレーション輪郭とターゲット輪郭とを比較することによって計算することができ、基準に合致するまで、シミュレーション輪郭にエッジ変位ベクトルを反復的に適用することによって実現することができる。このような技術は国際公開第2014/177776号パンフレットで公開されたPCT出願で開示されており、これも本出願の出願人に譲受されている。マンハッタンタイプデザインについては、エッジベース補正はオーバーラップ、ホール、単独の厚みのないショット、変位できないエッジなどのようなアーティファクトをわずかしか引き起こさないためにショットベース補正を改善する。しかしフリーフォームパターンの場合は、エッジベース補正は特に、シミュレーション輪郭に対するエッジベース補正の影響をあまり制御できないためにほとんど効果がない。
欠点を伴わないエッジベース補正の利点をもたらす新しい技術を見つけることは有益である。
欧州特許第2559054号明細書 国際出願第PCT/EP2013/053883号明細書 国際公開第2014/177776号
本発明は、シミュレーション輪郭とターゲット輪郭との比較を使用する補正方法に少なくとも頂点ベース補正のプロセスを含めることによって従来技術の問題点を克服する。
この結果に向けて、本発明はコンピュータを使用して、半導体集積回路を絶縁するためにターゲットデザインを破砕することを目的に使用するデータを生成する方法であって、
a)ターゲットデザインからシードデザインを生成し、
b)シードデザインの頂点を接続する線分を生成してシードデザインの輪郭を形成し、
c)いくつかの補正位置をシードデザインの輪郭上に配置し、
d)補正位置と頂点とを関連付けし、
e)ドーズ量マップをシミュレーションデザインと関連付けし、
f)シードデザインのシミュレーション輪郭を補正位置に生成してシミュレーションデザインを形成し、
g)頂点の少なくとも一部を変位してシミュレーション輪郭とシードデザインの輪郭との間の合致基準を改善し、
h)停止基準に達するまでステップe)〜g)を反復する
ステップを含むことを特徴とする方法を開示する。
有利には、直線で囲まれたセグメントは、最小値と最大値との間に含まれる長さを有するように定義される。
有利には、最小値は絶縁プロセスの前方散乱パラメータの値より大きいかまたは等しい。
有利には、最大値は絶縁プロセスの後方散乱パラメータの値よりも小さいかまたは等しい。
有利には、補正位置は、シミュレーション輪郭の選択されたエッジ上に補正位置を配置すること、補正位置を選択された頂点に配置すること、および補正位置を選択された頂点の後方または前方の一方に配置することを含むグループから選択された1つの配置ルールに基づいて配置される。
有利には、頂点グループは、1つの頂点グループを最寄りの1つの補正位置と関連付けすること、1つの頂点グループを所定の間隔内に存在する1つの補正位置と関連付けすること、1つの頂点グループを頂点と補正箇所との間の間隔に基づく重み付けで計算された所定の重み付け間隔内に存在する1つの補正位置と関連付けすることを含むグループから選択された1つの関連付けルールに基づいて補正位置と関連付けされる。
有利には、頂点を変位することは、2つのセグメント間の角度の二等分線に沿って頂点から離れる方向に頂点を変位すること、および頂点のドーズ量勾配に沿って頂点を変位することを含むグループから選択された1つの変位ルールに基づいて決定される。
有利には、頂点を変位することは、補正位置のシミュレーションデザインとターゲットデザインとの間の差異に基づいて決定される。
有利には、ドーズ量マップをシミュレーションデザインと関連付けすることは、各イテレーションにおいてドーズ量マップを更新するようにドーズ量補正を決定することを含む。
有利には、ドーズ量補正はロングレンジである。
有利には、本発明の方法は、ステップh)の後に、i)シミュレーションデザインをマンハッタン化するステップをさらに含む。
有利には、本発明の方法は、ステップh)およびステップi)のいずれか一方の後に、j)エッジベース補正を実行するステップをさらに含む。
有利には、本発明の方法は、ステップh)、i)、およびj)のいずれか1つのステップの後に、k)出力された輪郭の破砕を実行するステップをさらに含む。
有利には、本発明の方法は、ステップh)、i)、j)、およびk)のいずれか1つのステップの後に、l)ドーズ量と幾何学的形状を組み合わせた補正を実行するステップをさらに含む。
本発明は、半導体集積回路を絶縁するためにターゲットデザインを破砕するのに使用することを目的にデータを生成するコンピュータプログラムであって、
a)ターゲットデザインからシードデザインを生成し、
b)シードデザインの頂点を接続して線分で囲まれたセグメントを生成してシードデザインの輪郭を形成し、
c)いくつかの補正位置をシードデザインの輪郭上に配置し、
d)補正位置と頂点とを関連付けし、
e)ドーズ量マップをシミュレーションデザインと関連付けし、
f)シードデザインのシミュレーション輪郭を補正位置に生成してシミュレーションデザインを形成し、
g)頂点の少なくとも一部を変位してシミュレーション輪郭とシードデザインの輪郭との間の合致基準を改善し、
h)停止基準に達するまでステップe)〜g)を反復する
ように構成された、ユーザインタフェース、コンピュータコード命令、およびコンピュータとメモリリソースへのアクセスを備えることを特徴とするコンピュータプログラムを開示する。
本発明は、本発明によるコンピュータプログラムの少なくともアウトプットを使用するように構成された半導体製造装置であって、ガウス型電子ビームリソグラフィ、マルチビーム電子リソグラフィ、およびレーザリソグラフィを使用して、半導体ウエハに直接書き込むこととマスクプレートに書き込むことのいずれか1つを行うように構成された半導体製造装置をも開示する。
本発明は多数の追加の利点をもたらす。注目すべきことに、頂点ベース補正ステップにおいては、頂点が変位するときのシミュレーション歪みの最大値は頂点近辺にある。また、本発明による頂点配置時に作成されるエッジの数を制限することができるが、エッジベース補正をフリーフォームデザインに使用する場合はエッジの数を不自然に増大させずに歪みを制御することは困難である。フリーフォームが非常に複雑な場合は、エッジの数は非常に大きいものにならざるを得ない。さらに、本発明により計算された頂点変位からもたらされる輪郭変位は連続的であり、これはエッジベース補正のケースとは異なる。
様々な実施形態および以下の付帯図の説明から、本発明がより理解され、その様々な特徴および利点が明らかになるだろう。
それぞれマンハッタンパターンを含むデザインおよびフリーフォームパターンを含むデザインを示し、共に従来技術のエッジベース補正プロセスを使用して補正されている。 それぞれマンハッタンパターンを含むデザインおよびフリーフォームパターンを含むデザインを示し、共に従来技術のエッジベース補正プロセスを使用して補正されている。 それぞれマンハッタンパターンを含むデザインおよびフリーフォームパターンを含むデザインを示し、共に本発明のいくつかの実施形態による頂点ベース補正プロセスを使用して補正されている。 それぞれマンハッタンパターンを含むデザインおよびフリーフォームパターンを含むデザインを示し、共に本発明のいくつかの実施形態による頂点ベース補正プロセスを使用して補正されている。 本発明のいくつかの実施形態による頂点ベース補正ステップを含むプロセスの異なる2つのフローチャートを示す。 本発明のいくつかの実施形態による頂点ベース補正ステップを含むプロセスの異なる2つのフローチャートを示す。 それぞれ、本発明のいくつかの実施形態における、ドーズ量補正を伴う場合と伴わない場合の頂点ベース補正のフローチャートを示す。 それぞれ、本発明のいくつかの実施形態における、ドーズ量補正を伴う場合と伴わない場合の頂点ベース補正のフローチャートを示す。 本発明のいくつかの実施形態における、補正位置の配置および頂点との関係付けを決定するように適用されるルールの例を示す。 本発明のいくつかの実施形態における、補正位置の配置および頂点との関係付けを決定するように適用されるルールの例を示す。 本発明のいくつかの実施形態における、補正位置の配置および頂点との関係付けを決定するように適用されるルールの例を示す。 本発明のいくつかの実施形態における、補正位置の配置および頂点との関係付けを決定するように適用されるルールの例を示す。 本発明のいくつかの実施形態における、補正位置の配置および頂点との関係付けを決定するように適用されるルールの例を示す。 本発明のいくつかの実施形態における、補正位置の配置および頂点との関係付けを決定するように適用されるルールの例を示す。 本発明のいくつかの実施形態における、補正位置の配置および頂点との関係付けを決定するように適用されるルールの例を示す。 本発明のいくつかの実施形態における、補正位置の配置および頂点との関係付けを決定するように適用されるルールの例を示す。
図1aおよび図1bはそれぞれマンハッタンパターンを含むデザインおよびフリーフォームパターンを含むデザインを示し、共に従来技術のエッジベース補正プロセスを使用して補正されている。
エッジベース補正プロセスの単純化モデルが図1aに示され、マンハッタンのターゲットエッジ110aに当てはまる。シミュレーションまたは補正位置120aが、好ましくはターゲットエッジの中間点で選択される。変位ベクトル150aは、シミュレーション位置を有するエッジをアウトプット輪郭130aの方向へ変位するように計算される。次いで、アウトプット140aのシミュレーション位置がターゲットエッジ110aと比較される。このプロセスは反復的であり、アウトプットのシミュレーションとターゲットとの間の間隔がしきい値を下回ると停止する。
本出願において、以下の用語は逆リソグラフィ変換(ILT)の語彙を使用して以下のように定義する。ILTにおいて:
− ターゲットとは、表面上にプリントされるデザインであり、
− ILTのアウトプット輪郭とは、ターゲットをインプットとして使用して逆変換された結果であり、
− アウトプットのシミュレーションとは、アウトプット輪郭をインプットとして使用して直接変換した結果である。アウトプットのシミュレーションは可能な限りターゲットに一致する。
変位による修正の最大値はシミュレーション位置であり、したがって制御が容易であるため、このプロセスはマンハッタンデザインには都合がよい。
図1bにおいては、ターゲットはフリーフォームである。シミュレーション位置120bがターゲットエッジ110bの中間で選択され、アウトプット輪郭130b、アウトプットのシミュレーション140b、および変位ベクトル150bを使用してエッジベースの同一のプロセスが適用される。しかし、この場合、2つの隣接するエッジ170bおよび180bの変位がそれらの間に始めから存在するエッジを除去することになる。これら2つのエッジが出合うところでシミュレーション結果が最大値となるような修正が生成される。エラー160bは、制御し反復的に補正することは容易ではない。この欠点は本発明の方法で克服される。
図2aおよび図2bはそれぞれマンハッタンパターンを有するデザインおよびフリーフォームパターンを有するデザインを表し、共に本発明のいくつかの実施形態による頂点ベース補正プロセスを使用して補正される。
本発明による補正方法においては、従来技術のエッジベース補正方法との対比において、変位ベクトルは補正位置またはシミュレーションには適用されず、デザインの頂点のいくつかまたはすべてに適用される。
図2aは図1aと同様のマンハッタンパターンのデザインを示している。以下の記述の中でさらに説明する異なるステップの方法が適用される。ターゲットデザイン上の頂点210aを選択する。頂点210aは2つの補正位置220aと230aとの間に位置し、それらは頂点の一部分である2つのエッジ上に位置する。また、アウトプット輪郭、およびターゲット輪郭に対するアウトプットのシミュレーションの反復的調整を使用して、所定レベル以上にフィットするまで連続して変位ベクトル240aを生成する。図の例においては、エラー250aが、頂点210aの各サイド上に位置する2つの頂点260aおよび270aの非依存的な変位によって生成される。頂点の非依存的な変位のためにエッジの並行性は保持されず、これはマンハッタンデザインには最適ではない。このエラーを自動的に除去することは容易ではない。
図2bは図1bと同様にフリーフォームデザインを示している。本発明の方法により、頂点220bおよび230bの非依存型の変位により、エッジ210bの変位を制御することが可能になる。したがって、エッジベース補正を適用するときに同一のデザインの同一の位置で発生するエラーは、本発明の頂点ベース補正を適用すると発生しない。
図3aおよび図3bは、本発明のいくつかの実施形態による頂点ベース補正ステップを備えるプロセスの異なる2つのフローチャートを示す。
本発明の頂点ベース補正は異なる処理フローに含めることができる。頂点ベース補正およびエッジベース補正の両方は、初期のショットでデザインを破砕する前に適用する幾何学的形状補正であることに留意することは重要である。破砕の前に幾何学的形状補正を適用することは、より少ないアーティファクト(オーバーラップ、ホール、厚みのないショット、変位できないエッジなど)しか生成しないという利点を有する。フリーフォームデザインを破砕する前に、単純なマンハッタンのサブパーツを生成することも概して有利である。
図3aの実施形態においては、第一に、頂点ベース補正ステップ310aの結果が単純化/マンハッタン化ステップ320aに投入される。破砕ステップ340aののちに、ドーズ量/幾何学的形状複合補正またはDMGステップ350aが有効であれば実行することができる。DMGは、本出願の出願人に譲受されている欧州特許第2559054号明細書で公開された欧州特許出願で開示された方法を使用して実行することができる。
図3bの変形としては、エッジベース補正の330bのステップがマンハッタン化と破砕との間で実行される。この処理フローにおいては頂点ベース補正が輪郭の調整に使用されると、かなりの変位を必要とすることがあるが、エッジベース補正がマンハッタン形状をターゲットに適用するのに使用されると、通常は少ない変位しか必要としない。
図4aおよび4bはそれぞれ本発明のいくつかの実施形態によるドーズ量補正を伴わない場合およびドーズ量補正を伴う場合の頂点ベース補正のフローチャートを示す。
本発明はドーズ量補正を伴わないでまたはドーズ量補正を伴って実行することができ、他のステップでも同様である。
したがって本発明の方法の主要ステップは図4aからコメントする。
方法はシードターゲット輪郭を生成するステップ410で初期化される。シードターゲット輪郭は、ターゲット輪郭をフィルタリングしてアーティファクトを取り込む可能性がある輪郭のパーツを除去する(例えば、一般にノッチと呼ばれる、メインデザインで指摘される形体を除去する、または単一の非マンハッタンエッジによって連続する小さなマンハッタンステップを置き換える)ことによりターゲット輪郭から取得される。結果として生じるシードターゲット輪郭は到達すべき真のターゲットとみなされる。言い換えれば、元のターゲットは断片化され過ぎていると考えられる。
次いでステップ420においては、シードターゲット輪郭はセグメント化され輪郭上に規則正しく間隔をあけて十分な頂点を作成するが、多すぎることはない。適当な折り合いは、少数のα(絶縁プロセスのガウス形点応答関数−またはPSF−におけるビームの前方散乱効果を表すパラメータ)を有するエッジ長を有することである。一般には、「少数のα」は1〜10のαを意味する。
次いでステップ430においては、補正位置がセグメント化されたシードターゲットデザイン上に配置され、ステップ440において、補正位置が隣接する頂点と関連付けされる。どのように補正位置が配置され頂点と関連付けされるかについての例がさらに以下で図5a、図5bおよび図5c、ならびに図5d、図5eおよび図5fとそれぞれ関連する記載の中で提供される。
セグメント化されたシードターゲットデザインの構成がステップ410〜ステップ440を通じて準備されると、プロセスはステップ450でイテレーションループに入り、デザインとドーズ量マップの関連付けが実行される。ドーズ量マップは概して、しきい値を超えないドーズ量割合を有するようにデザインをゾーニングした形状である。ドーズ量マップは幾何学的形状補正の間は一定しているか、または図4bに示される例の中にあるように変化する可能性がある。
本発明の方法によれば、ステップ470において、頂点は変位ベクトルを使用して変位させる。ベクトルの方向は、図5gおよび図5hに関する記述の中でさらに説明するように、別のルールを使用して設定することができる。一定の補正位置での変位またはシフトの大きさはシフト後のアウトプットのシミュレーション結果およびイテレーションループにおける前のシフト後のアウトプットの連続したシミュレーション結果に基づいて設定される。
シミュレーションとシフトとの間の関係は制御システム工学の複雑な問題である。第一のオプションは、シミュレーション値と、一定または可変の係数を掛けた望ましいシミュレーション値との差異に比例してシフトさせることである。この大変単純な制御ループは、オートメーション理論から知られている戦略を使用してより速く収束させより安定性させるように改善することができる。
頂点の変位のステップの後に、シミュレーション輪郭はステップ480におけるセグメント化したターゲットデザインと比較される。この比較は、2つのデザインの表面、2つの輪郭の間の最小または最大間隔、シミュレーション輪郭の粗さ、あるいはそれらの組み合わせに基づく。次いで定量的停止基準がステップ490で評価される。停止基準は、このプロセスが十分な速度で収束しない場合の状況を考慮して、イテレーション数または最大計算時間に基づく停止を含むこともできる。
図4bのフローチャートと図4aのフローチャートとの差異は単に、前者がイテレーションコマンドの入力ポイントまたはドーズ量マップを関連付けするステップ(ステップ450)と頂点変位の実行(ステップ470)との間にドーズ量補正ステップ460を含むことだけである。
ドーズ量補正はセグメント化されたシードターゲット輪郭のサブパーツとして適用される。適用されるドーズ量補正は、PSFのβパラメータを使用してモデル化されるロングレンジ補正で、ロングレンジとは後方散乱効果の範囲を意味する。輪郭のサブパーツはおよそβの長さのものが選択される。ドーズ量は各サブパーツに帰属する(一般に、プロセスの初期においてレジストしきい値1の比率)。ドーズ量補正は、例えば本出願の出願人に譲受されている欧州特許第2559054号明細書で公開の欧州特許出願で開示されている方法を使用して計算される。ドーズ量補正は、このプロセスのエネルギー許容度を使用する幾何学的形状補正から控除される。
ドーズ量の値は幾何学的形状補正または各イテレーションの再生の間は一定に維持することができる。
図5a、図5b、図5c、図5d、図5g、図5e、図5fおよび図5gは、本発明のいくつかの実施形態において補正位置の配置および頂点との関連付けを決定するのに適用されるルールの例を示す。
補正位置を配置する別の戦略は図5a、図5b、および図5cに示す。正しい戦略の選択は、
− 実行時間を最少化するために位置の数を最少化すること、
− アウトプット輪郭のエラーを制御すること、
− ターゲットに到達することができるポイント配置すること(コーナーの面取り問題)、
− ターゲットに到達することの難易度または重要性に基づく配置または重み付け
の間に折り合いをつけることである。
図5aの例においては、補正位置は頂点から離れたエッジに配置されている。各エッジ上に2つの補正位置を配置した図で示すように、各エッジ上に2つ以上の補正位置を有することは有利であり得る。エッジの2つの位置の間は厳密に、かつ頂点の周辺は緩くシミュレーションアウトプットを制御することが期待される。欠点は頂点当り2つ以上の位置を配置することである。
図5bの例においては、補正位置が各頂点に配置されている。この戦略では、頂点を上手く制御することができるが、エッジ中間点での制御不能なエラーが予測される。
図5cの例においては、補正位置が、各頂点の背後、つまりターゲット輪郭の内側に配置されている。目標はコーナーの面取りを考慮した間隔で位置を配置することである。直接制御しなくても、エッジの中間点でより合致することが期待できる。輪郭が凹面の代わりに凸面である場合、図にあるように、補正位置は好ましくは輪郭の外側、つまり頂点の前方に配置される。
頂点と補正位置を関連付ける3つの異なるルールを図5d、図5e、および図5fに示す。エッジが極めて小さい場合(αまたはより小さいものと比較可能)、図5eおよび図5fのように同一シミュレーション位置を共有する頂点を有することにより、輪郭のよりスムーズな変形が得られる。このことは補正の安定性を改善する1つの方法であり得る。図5dの例においては、エッジごとに2つの補正位置が配置される図5aの構成を複製しているが、各頂点は最寄りの位置と関連付けされる。例えば、頂点510、540それぞれはその近隣には1つの補正位置のみを有し、したがってこれらの頂点は単純に補正位置550および5A0それぞれと関連付けされる。頂点520、530それぞれは同等間隔、つまり前者は560および570、後者は580および590、に位置する2つの補正位置を有する。したがって、これらの位置は最寄りのものであると考えられ、頂点520、530それぞれは補正位置のこれら対と関連付けられる。
図5eの例においては、ルールは、定義された頂点間隔内にあるすべての補正位置を1つの頂点に関連付けるというものである。例えば、頂点530は補正位置5B0、5C0、および5D0と関連付けられる。2つ以上の補正位置が1つの頂点に関連付けすることはいくつかのケースで精度を改善することができる。本発明のこのタイプの実施形態を使用する場合は、各補正位置に対して取得されるシフトの値を組み合わせるようルールを定義する必要がある。単純なルールはその平均をとることであってもよいが、他のルールを使用してもよい。
頂点と補正位置の関連付けは、図5fに示すように、補正位置と頂点との間隔に依存する重み付けパラメータを使用することもできる。重み付けパラメータは頂点よりも近くにある補正位置がより高くなる。図の例では、頂点520については、最も離れた補正位置550、590、および5A0はゼロの重み付けパラメータが振り当てられるが、より近くにある補正位置580は1の重み付けパラメータが振り当てられ、最も近隣にある補正位置560および570は2の重み付けパラメータが振り当てられる。もちろん、重み付けパラメータのこれらの例は使用されるコンテキストに適するように変更することが可能である。一般的な重み付けルールは重量=|Dmax−D|/Dmaxであり、ここで、Dは頂点と位置との間の間隔であり、Dmaxは頂点と位置を関連付ける最大間隔である。間隔が大きいほど、シフトにおける補正位置への影響は少ない。
変位ベクトルの方向を決定する2つの異なるルールが図5gおよび図5hに示される。
図5gの例においては、頂点520の変位ベクトル521はその頂点で接合する2つのエッジ522、523の角度を2等分する方向を有する。この方法は、その方向を選択することが極めて単純に計算されるため最速である。
図5hの例においては、曲線524、525がビームドーズ勾配を表している。変位ベクトルの方向は曲線524、525の勾配に沿うものとして決定される。この方法は各頂点の周辺を少なくとも3回シミュレーションする必要があり、より時間を要する。そのトレードオフとして補正がより安定する。
シミュレーション輪郭とターゲット輪郭とがより合致するように、X軸に沿った動きとY軸に沿った動きを非依存的に計算することによって変位ベクトルの方向を決定することも可能である。特定のケースでは、自由度の数値が増大すると補正の精度を改善することができる。
概して、頂点の変位ベクトルはシミュレーションされた輪郭とシード輪郭との間の差異を最小化するように計算され、可能であれば、最良の合致を得るのに必要な回数繰り返される。
すべての実施形態においては、イテレーションの精度および安定性を高めるのに変形形態を採用することができる。シミュレーションされた輪郭とターゲット輪郭との合致は単一エッジの代わりにいくつかのエッジを計算することができる。すべての対応する頂点に多変数アルゴリズムを適用するように次のシフトを決定することができる。これは、頂点が互いに近接(いくつかのαよりも低い)している場合に必要になると推測される。また、いくつかのエッジの変位を平均化することに利益がある場合もあるが、これは粗さを改善するものである。
本発明の方法は、例えば、本出願の出願者によって開発され販売されているInscaleTMソフトウェアの改変バージョンを使用して実行することができる。そのソフトウェアはデータファイルを生成してウエハまたはマスクを絶縁するためのe−ビーム装置を駆動するのに使用することが可能である。類似ソフトウェアはデータを生成して同一の用途で光学装置を駆動することが可能である。このソフトウェアはシミュレーションデータを生成するのに使用することも可能である。
本発明により、特にフリーフォームのデザインについて、精度とショット回数との間を適切に折り合いをつけることが可能になる。このことは、破砕前に補正を生成するのに使用することができ、エッジベース補正以前において最新技術であった破砕ステップでのショット生成への補正適用に比べてコンピュータ依存が少ないことから、特に有利である。
本明細書に記載の実施例は本発明のいくつかの実施形態の単なる例示に過ぎない。これらは付帯の特許請求の範囲で定義する本発明の範囲を一切限定するものではない。

Claims (15)

  1. コンピュータを使用して、半導体集積回路を絶縁するためのターゲットデザインを破砕するe−ビーム装置使用するためのデータ準備ファイルを生成する方法であって、
    a)前記ターゲットデザインをフィルタリングすることによって、前記ターゲットデザインからシードターゲットデザインを生成するステップ(410);
    b)前記シードターゲットデザインの複数の頂点を接続してセグメントを生成して、前記シードターゲットデザインの輪郭を形成するステップ(420);
    c)前記シードターゲットデザインの前記輪郭上にいくつかの補正位置を配置するステップ(430);
    d)前記補正位置と前記頂点との間の距離に基づいて、前記補正位置と前記頂点を関連付けするステップ(440);
    e)前記シードターゲットデザインのシミュレーション輪郭を前記補正位置に生成して、シミュレーションデザインを形成するステップ
    f)ドーズ量マップを前記シミュレーションデザインと関連付けするステップ
    g)前記頂点の少なくとも一部の変位を計算して、前記シミュレーション輪郭と前記シードターゲットデザインの前記輪郭の合致基準を改善するステップ;
    h)少なくとも前記補正位置と前記頂点とを関連付けすることに基づいて、前記頂点を変位させるステップ;
    i)前記シミュレーション輪郭を前記データ準備ファイルに格納するステップ;
    j)ステップe)からステップ)を停止基準に到達するまで反復するステップ
    を含むことを特徴とする方法。
  2. 前記セグメントが最小値と最大値との間に含まれる長さを有するように定義される、請求項1に記載の方法。
  3. 前記最小値が、絶縁プロセスの前方散乱パラメータの値よりも大きいまたは等しい、請求項2に記載の方法。
  4. 前記最大値が、絶縁プロセスの後方散乱パラメータの値よりも小さいまたは等しい、請求項2または3のいずれか一項に記載の方法。
  5. 前記補正位置が、前記シミュレーション輪郭の選択されたエッジ上に補正位置を配置すること、選択された頂点に補正位置を配置すること、および選択された頂点の後方で前記シードターゲットデザインの前記輪郭の内側および選択された頂点の前方で前記シードターゲットデザインの前記輪郭の外側のいずれか一方に補正位置を配置することを含むグループから選択される1つの配置ルールに基づいて配置される、請求項1〜4のいずれか一項に記載の方法。
  6. 前記複数の頂点グループが、1つの頂点グループを1つの最寄りの補正位置と関連付けすること、1つの頂点グループを所定の間隔内に存在する1つの補正位置と関連付けること、1つの頂点グループを頂点と補正位置との間の間隔に基づいた重みで計算された所定の重み付け間隔内に存在する1つの補正位置と関連つけることを含むグループから選択される1つの関連付けルールに基づいて補正位置と関連付けられる、請求項1〜5のいずれか一項に記載の方法。
  7. 頂点を変位させることが、2つのセグメントの間の角度の二等分線に沿って頂点から離れる方向に前記頂点を変位させること、および頂点におけるドーズ量勾配に沿って前記頂点を変位させることを含むグループから選択される1つの変位ルールに基づいて決定される、請求項1〜6のいずれか一項に記載の方法。
  8. 頂点を変位させることが、前記補正位置における前記シミュレーションデザインと前記ターゲットデザインとの差異に基づいて決定される、請求項1〜7のいずれか一項に記載の方法。
  9. ドーズ量マップを前記シミュレーションデザインと関連付けることが、イテレーションごとに前記ドーズ量マップをアップデートするようにドーズ補正を決定することを含む、請求項1〜8のいずれか一項に記載の方法。
  10. 前記ドーズ量補正がロングレンジである、請求項9に記載の方法。
  11. ステップj)の後に、k)前記シミュレーションデザインをマンハッタン化するステップをさらに含む、請求項1〜10のいずれか一項に記載の方法。
  12. ステップj)またはk)のいずれかのステップの後に、l)エッジベース補正を実行するステップをさらに含む、請求項1〜11のいずれか一項に記載の方法。
  13. ステップj)、k)、またはl)のいずれかのステップの後に、m)前記アウトプット輪郭の破砕を実行するステップをさらに含む、請求項1〜12のいずれか一項に記載の方法。
  14. ステップj)、k)、l)、またはm)のいずれかのステップの後に、n)ドーズ量と幾何学的形状との複合補正を実行するステップをさらに含む、請求項1〜13のいずれか一項に記載の方法。
  15. 半導体集積回路を絶縁するためにターゲットデザインを破砕するe−ビーム装置で使用する目的でデータ準備ファイルを生成するコンピュータプログラムであって、
    a)前記ターゲットデザインをフィルタリングすることによって、前記ターゲットデザインからシードターゲットデザインを生成し、
    b)前記シードターゲットデザインの頂点を接続して線分で囲まれたセグメントを生成して、前記シードターゲットデザインの輪郭を形成し、
    c)いくつかの補正位置を前記シードターゲットデザインの前記輪郭上に配置し、
    d)前記補正位置と前記頂点との間の距離に基づいて、前記補正位置と前記頂点とを関連付けし、
    e)前記シードターゲットデザインのシミュレーション輪郭を前記補正位置に生成して、シミュレーションデザインを形成し、
    f)ドーズ量マップを前記シミュレーションデザインと関連付けし、
    g)前記頂点の少なくとも一部変位を計算して、前記シミュレーション輪郭と前記シードターゲットデザインの前記輪郭との間の合致基準を改善し、
    h)少なくとも前記補正位置と前記頂点とを関連付けすることに基づいて、前記頂点を変位させ
    i)前記シミュレーション輪郭を前記データ準備ファイルに格納し、
    j)停止基準に達するまでステップe)からi)を反復する
    ように構成された、ユーザインタフェース、コンピュータコード命令、およびコンピュータとメモリリソースへのアクセスを備えることを特徴とするコンピュータプログラム。
JP2017533835A 2014-12-23 2015-12-22 頂点ベース補正を半導体デザインに適用する方法 Active JP6518770B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14307169.4A EP3037878B1 (en) 2014-12-23 2014-12-23 Method of applying vertex based corrections to a semiconductor design
EP14307169.4 2014-12-23
PCT/EP2015/081059 WO2016102607A1 (en) 2014-12-23 2015-12-22 Method of applying vertex based corrections to a semiconductor design

Publications (2)

Publication Number Publication Date
JP2018500771A JP2018500771A (ja) 2018-01-11
JP6518770B2 true JP6518770B2 (ja) 2019-05-22

Family

ID=52396388

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017533835A Active JP6518770B2 (ja) 2014-12-23 2015-12-22 頂点ベース補正を半導体デザインに適用する方法

Country Status (6)

Country Link
US (1) US10534255B2 (ja)
EP (1) EP3037878B1 (ja)
JP (1) JP6518770B2 (ja)
KR (1) KR102028265B1 (ja)
CN (1) CN107636535B (ja)
WO (1) WO2016102607A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
EP3153924B1 (en) * 2015-10-07 2021-11-17 Aselta Nanographics Method for determining the dose corrections to be applied to an ic manufacturing process by a matching procedure
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
JP6497494B1 (ja) * 2017-07-14 2019-04-10 大日本印刷株式会社 図形パターンの形状補正装置および形状補正方法
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
JP7201364B2 (ja) * 2017-08-25 2023-01-10 アイエムエス ナノファブリケーション ゲーエムベーハー マルチビーム描画装置において露光される露光パターンにおける線量関連の特徴再形成
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
CN108830303B (zh) * 2018-05-29 2021-05-28 广州微牌智能科技有限公司 车辆识别方法、装置、计算机设备和存储介质
KR20200072981A (ko) * 2018-12-13 2020-06-23 삼성전자주식회사 마스크 레이아웃 설계 방법, opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210028326A (ko) 2019-09-03 2021-03-12 삼성전자주식회사 마스크 레이아웃의 보정 방법 및 이를 이용한 반도체 장치의 제조방법
WO2021061092A1 (en) * 2019-09-23 2021-04-01 Applied Materials, Inc. Optimization of a digital pattern file for a digital lithography device
US11295056B2 (en) 2020-01-31 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Vertex-based OPC for opening patterning
DE102020104167B4 (de) 2020-02-18 2023-01-26 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Photomasken
CN111367148B (zh) * 2020-04-10 2022-04-12 联合微电子中心有限责任公司 曲线图形光学邻近修正方法
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
KR20210156399A (ko) 2020-06-17 2021-12-27 삼성전자주식회사 Opc 방법 및 이를 이용한 반도체 소자의 제조 방법

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5475766A (en) * 1991-09-05 1995-12-12 Kabushiki Kaisha Toshiba Pattern inspection apparatus with corner rounding of reference pattern data
US6686953B1 (en) * 2000-03-01 2004-02-03 Joseph Holmes Visual calibration target set method
US6453457B1 (en) * 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6848088B1 (en) * 2002-06-17 2005-01-25 Mentor Graphics Corporation Measure of analysis performed in property checking
JP2004301892A (ja) * 2003-03-28 2004-10-28 Fujitsu Ltd パターン作成方法及び装置
US7260812B2 (en) * 2004-08-02 2007-08-21 Synopsys, Inc Method and apparatus for expediting convergence in model-based OPC
US7925486B2 (en) * 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7904848B2 (en) * 2006-03-14 2011-03-08 Imec System and method for runtime placement and routing of a processing array
US7784019B1 (en) * 2006-11-01 2010-08-24 Cadence Design Systems, Inc. Yield based retargeting for semiconductor design flow
US7953582B2 (en) * 2006-11-21 2011-05-31 Cadence Design Systems, Inc. Method and system for lithography simulation and measurement of critical dimensions
US7571418B2 (en) * 2007-02-20 2009-08-04 International Business Machines Corporation Simulation site placement for lithographic process models
US7873504B1 (en) * 2007-05-07 2011-01-18 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
JP5408852B2 (ja) * 2007-08-09 2014-02-05 株式会社日立ハイテクノロジーズ パターン測定装置
JP2009070880A (ja) 2007-09-11 2009-04-02 Nec Electronics Corp 半導体装置の製造方法
JP2009141306A (ja) * 2007-11-16 2009-06-25 Jeol Ltd 荷電粒子ビーム描画におけるパターン分割方法
NL2003143A1 (nl) * 2008-07-07 2010-01-11 Brion Tech Inc Illumination optimization.
CN101349863B (zh) * 2008-08-19 2010-08-25 浙江大学 用轮廓采样的多边形边动态切分的光学临近效应校正方法
US8039176B2 (en) * 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US7799489B2 (en) * 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US8221939B2 (en) * 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
FR2959026B1 (fr) 2010-04-15 2012-06-01 Commissariat Energie Atomique Procede de lithographie a optimisation combinee de l'energie rayonnee et de la geometrie de dessin
US8392871B2 (en) * 2010-04-30 2013-03-05 International Business Machines Corporation Decomposition with multiple exposures in a process window based OPC flow using tolerance bands
JP5529965B2 (ja) * 2010-06-29 2014-06-25 株式会社日立ハイテクノロジーズ パターンマッチング用テンプレートの作成方法、及び画像処理装置
JP5658997B2 (ja) * 2010-12-17 2015-01-28 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置および描画データ生成方法
US8584075B2 (en) * 2011-02-15 2013-11-12 Qualcomm Incorporated Method and apparatus for characterizing and reducing proximity effect on cell electrical characteristics
JP5987381B2 (ja) * 2012-03-19 2016-09-07 大日本印刷株式会社 電子線照射量決定方法
KR20150001834A (ko) * 2012-04-18 2015-01-06 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 사용한 임계 치수 균일성을 위한 방법 및 시스템
US20140013286A1 (en) * 2012-07-05 2014-01-09 Macronix International Co., Ltd. Method for manufacturing a mask
US8984451B2 (en) * 2013-02-22 2015-03-17 Aselta Nanographics Free form fracturing method for electronic or optical lithography
WO2014138057A1 (en) * 2013-03-04 2014-09-12 Kla-Tencor Corporation Metrology target identification, design and verification
FR3005170B1 (fr) 2013-04-29 2017-02-17 Aselta Nanographics Procede de lithographie a optimisation combinee de l'energie rayonnee et de la geometrie applicable sur des formes complexes
US20150286374A1 (en) * 2014-04-04 2015-10-08 Altia, Inc. Embedded System User Interface Design Validator
US9342648B2 (en) * 2014-06-10 2016-05-17 International Business Machines Corporation Optical proximity correction (OPC) accounting for critical dimension (CD) variation from inter-level effects
US10055530B1 (en) * 2017-12-13 2018-08-21 Tactotek Oy Arrangement and method for facilitating electronics design in connection with 3D structures
US9990455B1 (en) * 2017-12-13 2018-06-05 Tactotek Oy Arrangement and method for facilitating electronics design in connection with 3D structures

Also Published As

Publication number Publication date
EP3037878A1 (en) 2016-06-29
CN107636535B (zh) 2021-02-23
US10534255B2 (en) 2020-01-14
JP2018500771A (ja) 2018-01-11
WO2016102607A1 (en) 2016-06-30
CN107636535A (zh) 2018-01-26
KR20170137695A (ko) 2017-12-13
KR102028265B1 (ko) 2019-10-02
EP3037878B1 (en) 2020-09-09
US20180267399A1 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
JP6518770B2 (ja) 頂点ベース補正を半導体デザインに適用する方法
US6745380B2 (en) Method for optimizing and method for producing a layout for a mask, preferably for use in semiconductor production, and computer program therefor
KR101822676B1 (ko) 복사 에너지와 설계안 지오메트리의 최적화를 조합하는 리소그래피 방법
US9268214B2 (en) Method for forming circular patterns on a surface
TWI605302B (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法
TWI322330B (en) Pattern generation method and charged particle beam writing apparatus
TWI534528B (zh) Drawing an amount of the charged particle beam to obtain the modulation factor of a charged particle beam irradiation apparatus and method
KR20150001840A (ko) 하전 입자 빔 리소그라피를 이용하여 패턴들을 형성하기 위한 방법 및 시스템
US8984451B2 (en) Free form fracturing method for electronic or optical lithography
US20100055580A1 (en) Method for fracturing circular patterns and for manufacturing a semiconductor device
JP2018006748A (ja) 表面上に書込む形状をバイアスするための方法およびシステム
JP2014512670A5 (ja)
CN103676462A (zh) 产生辅助图案的方法
JP3461305B2 (ja) マスク描画データ作成方法、作成装置および記録媒体
KR101860962B1 (ko) 콘트라스트 패턴의 삽입에 의해 라인 단부를 교정하는 전자빔 리소그래피 방법
JP2000250960A (ja) 描画装置用データの検証方法およびフォトマスクの製造方法
EP3153926B1 (en) A method of reducing shot count in direct writing by a particle or photon beam
Ashida et al. Data processing for LEEPL mask: Splitting and placement correction
US10699877B2 (en) Charged-particle beam writing apparatus and charged-particle beam writing method
Matsumoto et al. Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era
Seo et al. Hae Kim
US20170200584A1 (en) Continuous Writing of Pattern

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170809

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170809

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180530

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180605

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190422

R150 Certificate of patent or registration of utility model

Ref document number: 6518770

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250