JP5775530B2 - 照明システム、リソグラフィ方法、コンピュータプログラム、デバイス製造方法、およびリソグラフィ装置 - Google Patents

照明システム、リソグラフィ方法、コンピュータプログラム、デバイス製造方法、およびリソグラフィ装置 Download PDF

Info

Publication number
JP5775530B2
JP5775530B2 JP2012545188A JP2012545188A JP5775530B2 JP 5775530 B2 JP5775530 B2 JP 5775530B2 JP 2012545188 A JP2012545188 A JP 2012545188A JP 2012545188 A JP2012545188 A JP 2012545188A JP 5775530 B2 JP5775530 B2 JP 5775530B2
Authority
JP
Japan
Prior art keywords
movable reflective
illumination
radiation
setting
movable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012545188A
Other languages
English (en)
Other versions
JP2013516055A (ja
Inventor
インヘン スチェナウ,クン ヴァン
インヘン スチェナウ,クン ヴァン
スホート,ジャン ヴァン
スホート,ジャン ヴァン
フリース,ゴッセ デ
フリース,ゴッセ デ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2013516055A publication Critical patent/JP2013516055A/ja
Application granted granted Critical
Publication of JP5775530B2 publication Critical patent/JP5775530B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70075Homogenization of illumination intensity in the mask plane by using an integrator, e.g. fly's eye lens, facet mirror or glass rod, by using a diffusing optical element or by beam deflection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

[関連出願の相互参照]
[0001] 本出願は、2009年12月23日に出願された米国仮出願第61/289,736号の利益を主張し、その全体が参照により本明細書に組み込まれる。
[0002] 本発明は、リソグラフィ装置およびデバイス製造方法に関する。
[0003] リソグラフィ装置は、所望のパターンを基板上、通常、基板のターゲット部分上に付与する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に用いることができる。その場合、ICの個々の層上に形成される回路パターンを生成するために、マスクまたはレチクルとも呼ばれるパターニングデバイスを用いることができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、ダイの一部、または1つ以上のダイを含む)に転写することができる。通常、パターンの転写は、基板上に設けられた放射感応性材料(レジスト)層上への結像によって行われる。一般には、単一の基板が、連続的にパターニングされる隣接したターゲット部分のネットワークを含んでいる。
[0004] リソグラフィは、ICならびに他のデバイスおよび/または構造の製造における重要なステップの1つとして広く認識されている。しかし、リソグラフィを使用して作られるフィーチャの寸法が小さくなるにつれ、リソグラフィは、小型ICあるいは他のデバイスおよび/または構造を製造できるようにするための、より重要な要因になりつつある。
パターンプリンティングの限界の理論的な推定値は、式(1)に示す分解能のレイリー規準によって与えることができる:
Figure 0005775530
ここで、λは、使用される放射の波長であり、NAは、パターンを印刷するために使用される投影システムの開口数である。k1は、レイリー定数とも呼ばれるプロセス依存調整係数であり、CDは、印刷されたフィーチャのフィーチャサイズ(またはクリティカルディメンジョン)である。式(1)から、フィーチャの最小印刷可能サイズの縮小は、3つの方法、すなわち露光波長λを短くすること、開口数NAを大きくすること、またはk1の値を小さくすること、によって達成可能であるということになる。
[0005] 露光波長を短くし、ひいては最小印刷可能サイズを縮小するために、極端紫外線(EUV)放射源を使用することが提案されている。EUV放射は、10nm〜20nmの範囲内、例えば、13nm〜14nmの範囲内の波長を有する電磁放射である。また、10nm未満、例えば、6.7nmや6.8nmなどの5nm〜10nmの範囲の波長を有するEUV放射を使用できることがさらに提案されている。そのような放射は、極端紫外線または軟X線と呼ばれる。可能な放射源としては、例えば、レーザ生成プラズマ源、放電プラズマ源、または電子蓄積リングによって与えられるシンクロトロン放射に基づく放射源が含まれる。
[0006] EUV放射は、プラズマを使用して生成することができる。EUV放射を生成する放射システムは、燃料を励起してプラズマを提供するレーザと、プラズマを収容するソースコレクタモジュールとを含むことができる。プラズマは、例えば、レーザビームを適切な材料(例えば、スズ)の粒子、適切なガス流または蒸気流(Xeガス、Li蒸気など)などの燃料に誘導することによって生成することができる。結果として得られるプラズマは、放射コレクタを使用して集光される出力放射、例えば、EUV放射を放出する。放射コレクタは、ミラー垂直入射放射コレクタとすることができ、ミラー垂直入射放射コレクタは、放射を受け、その放射をビームに集束させる。ソースコレクタモジュールは、真空環境を提供してプラズマを支持するように配置された囲い構造またはチャンバを含むことができる。そのような放射システムは、通常、レーザ生成プラズマ(LPP)源と呼ばれる。
[0007] パターニングデバイスが照明される角度を適切に選択することによって、すなわち、パターニングデバイスを照明する放射の角度分布を適切に選択することによって基板上に投影されたパターニングデバイスの像を改善できることは、リソグラフィ技術において周知である。ケーラー照明システムを有するリソグラフィ装置において、パターニングデバイスを照明する放射の角度分布は、照明システムの瞳面での照明ビームの空間強度分布によって決まる。というのは、瞳面での照明ビームが、パターニングデバイスに入射する照明ビームを生成する二次放射源または仮想放射源として効果的に機能するからである。照明システム内の瞳面での照明ビームの空間強度分布の形状は、通常、照明モードまたはプロファイルと呼ばれる。
[0008] 瞳面における特定の空間強度分布を有する照明ビームは、パターニングデバイスの像が基板上に投影される際の処理寛容度を改善する。理論上は、結像される所与のパターンに関して、最適の照明モードを計算することができる。しかし、これはめったに行われない。というのは、この計算は困難であり、いずれにしても、瞳面における望ましい強度分布を実現することは可能でなく、または経済的でないことがあるからである。従って、多くの場合、結像されるパターンの特徴に従って、所定の標準照明モードの組のうちの1つの照明モード、例えば、ダイポール、輪帯、または四極のオフアクシス照明モードが選択される。これらのモードの一部のパラメータ、例えば、極の光軸のサイズおよび距離、または輪帯照明モードの内側半径および外側半径(σinnerおよびσouter)を調整することができる。選択されたモードは、解像度および/または投影の他のパラメータ、例えば、投影システム光学収差に対する感度、露光寛容度、および焦点深度を向上させることができる。
[0009] 照明モードのパラメータを用いてCD対ピッチおよびNILS(規格化像対数勾配、コントラストの単位)対ピッチなどの結像パラメータを調整することができる。これらのパラメータは、光近接効果補正(Optical Proximity Corrections)(非結像フィーチャおよび/またはマスクパターンのフィーチャ寸法の調整)によって、かつ基板を傾けることなどにより少量のデフォーカスを取り入れることによって、影響される場合がある。3つすべての可能な調整、つまり、照明モードのパラメータ、OPC、およびデフォーカスを組み合わせて可能な限り結像を最適化することができる。
[0010] 投影ビームとしてEUVを用いるリソグラフィ装置において、照明ビームを成形するためにズームアキシコンおよび回折光エレメントなどの透過型光エレメントを用いることはできない。というのは、EUVを透過させる適切な材料は存在しないからである。EUV放射用の公知の照明システムは、放射源からの放射を集光し、その放射を瞳ミラーに誘導する視野ミラーを備える。この視野ミラーはパターニングデバイスの瞳面に関連する。参照により全体が本明細書に組み込まれる、2009年3月4日に出願された米国仮出願第61/157,498号および2009年8月25日に出願された米国仮出願第61/236,789号などを参照して、所望の照明モードを画定するために瞳ミラーの対応するファセット上に放射を誘導する個別可動ファセットの構成から視野ミラーを形成することが提案されている。1つの構成において、各可動視野ファセットを2つの位置間で切り換えて、2つの対応する瞳ファセットのうちの選択された瞳ファセット上に放射を誘導することができる。別の構成では、各可動視野ファセットを3つの位置間で切り換えて、2つの対応する瞳ファセットのうちの選択された瞳ファセット上に、または放射が基板に到達しない方向に、放射を誘導することができる。
[0011] 可動視野ファセットを有する視野ファセットミラー(field facet mirror)を用いる照明システムにおいて、光がさまざまな位置に誘導される(チャネル割当てとして知られている)瞳ファセット(pupil facets)の場所は、実現可能な照明モードを決定する。各々が限られた数の位置を取り得る300以上などの多数の可動ファセットを有していても、限られた数の照明モードしか実現することができず、そのようなモードのパラメータを細かく調整することができない。照明均一性要件のため、視野ファセットはグループ化され、グループ内で切り換えられて、固定パラメータを用いてダイポール、四極、輪帯などの多数の標準照明モードを生じさせる。また、湾曲したスリットを有するEUVリソグラフィ装置において、基板を傾けることによりデフォーカスを取り入れて結像を制御することはできない。
[0012] 照明モードに対する微調整を行うことが可能な、可動ファセットを備える視野ファセットミラーを有するリソグラフィ装置を提供することが望ましい。
[0013] 本発明の一態様によれば、パターンをパターニングデバイスから基板上に投影するようにアレンジされたリソグラフィ装置用の照明システムであって、該照明システムは、放射ビームを調整し、かつ前記放射ビームを前記パターニングデバイス上に誘導するように構成され、第1反射コンポーネントおよび第2反射コンポーネントであって、該第1反射コンポーネントは前記放射ビームの放射を該第2反射コンポーネント上に誘導するように配置され、かつ各可動反射エレメントが照明モードを変化させるように少なくとも第1位置と第2位置との間で移動可能な複数の可動反射エレメントを備え、該第2反射コンポーネントは前記パターニングデバイスの瞳面と関連する、第1反射コンポーネントおよび第2反射コンポーネントと、所定の照明モードのセットから選択された所望の照明モードをもたらすために前記複数の可動反射エレメントをそれぞれの所望の位置に設定するようにアレンジされ、またさらに前記可動反射エレメントのうちの少なくとも1つを所望の位置とは異なる補正位置に設定して結像パラメータの調整をもたらすようにアレンジされた制御システムと、を備える、照明システムが提供される。
[0014] 本発明の一態様によれば、デバイスを製造するためのリソグラフィ方法であって、放射ビームを第1反射コンポーネント上に誘導し、それによって反射し第2反射コンポーネントに入射させることであって、該放射ビームはその後パターニングデバイスに入射し、該第1反射コンポーネントは複数の可動反射エレメントを備え、各可動反射エレメントは、前記パターニングデバイスの照明モードを変化させるように少なくとも第1位置と第2位置との間で移動可能であることと、前記パターニングデバイスを用いて前記放射ビームをパターニングすることと、該パターン付き放射ビームを基板上に投影することと、を含み、前記可動反射エレメントを所望の位置に設定して所定の照明モードのセットから選択された所望の照明モードを画定することと、前記可動反射エレメントのうちの少なくとも1つを所望の位置とは異なる補正位置に設定して結像パラメータの調整をもたらすことと、をさらに含む、リソグラフィ方法が提供される。
[0015] 本発明の一態様によれば、パターンをパターニングデバイスから基板上に投影するようにアレンジされたリソグラフィ装置であって、放射ビームを調整しかつ該放射ビームを該パターニングデバイス上に誘導するように構成された照明システムを備えるリソグラフィ装置を制御する命令を記憶するコンピュータ読取可能メモリを備えるコンピュータプログラム製品であって、前記照明システムは、第1反射コンポーネントおよび第2反射コンポーネントであって、該第1反射コンポーネントは前記放射ビームの放射を該第2反射コンポーネント上に誘導するように配置され、かつ各可動反射エレメントが照明モードを変化させるように少なくとも第1位置と第2位置との間で移動可能な複数の可動反射エレメントを備え、該第2反射コンポーネントは前記パターニングデバイスの瞳面と関連する、第1反射コンポーネントおよび第2反射コンポーネントと、前記複数の可動反射エレメントをそれぞれの所望の位置に設定するようにアレンジされた制御システムと、を備え、前記命令は、前記可動反射エレメントを所望の位置に設定して所定の照明モードのセットから選択された所望の照明モードを画定することと、前記可動反射エレメントのうちの少なくとも1つを所望の位置とは異なる補正位置に設定して結像パラメータの調整をもたらすことと、を含む方法を実行するために有効である、コンピュータプログラム製品が提供される。
[0016] 本発明の一態様によれば、レシピに従って複数のリソグラフィ装置を適合させてデバイスを製造する方法であって、各リソグラフィ装置は、パターンをパターニングデバイスから基板上に投影するようにアレンジされ、また放射ビームを調整しかつ該放射ビームを該パターニングデバイス上に誘導するように構成された照明システムを備え、該照明システムは、第1反射コンポーネントおよび第2反射コンポーネントであって、該第1反射コンポーネントは前記放射ビームの放射を該第2反射コンポーネント上に誘導するように配置され、かつ各可動反射エレメントが照明モードを変化させるように少なくとも第1位置と第2位置との間で移動可能な複数の可動反射エレメントを備え、該第2反射コンポーネントは前記パターニングデバイスの瞳面と関連する、第1反射コンポーネントおよび第2反射コンポーネントと、前記複数の可動反射エレメントをそれぞれの所望の位置に設定するようにアレンジされた制御システムと、を備え、該方法は、前記リソグラフィ装置のうちの第1リソグラフィ装置に対する照明設定を、所定の照明モードのセットから選択された基本照明モードを選択し、かつ前記第1リソグラフィ装置の前記可動反射エレメントのうちの少なくとも1つを選択して補正位置に設定し結像パラメータの調整をもたらすことによって、最適化することと、前記リソグラフィ装置のうちの第2リソグラフィ装置の照明設定を、前記第2リソグラフィ装置の前記可動反射エレメントのうちの少なくとも1つを選択して基本照明モードに寄与するのに有効な所与の位置とは異なる補正位置に設定することによって、前記第2リソグラフィ装置の結像性能が前記リソグラフィ装置のうちの前記第1リソグラフィ装置に適合するように決定することと、を含む、方法が提供される。
[0017] 本発明の一態様によれば、放射ビームを調整するように構成された照明システムを含むリソグラフィ装置が提供される。該照明システムは、第1反射コンポーネントおよび第2反射コンポーネントであって、該第1反射コンポーネントは前記放射ビームの放射を該第2反射コンポーネント上に誘導するように配置され、該第1反射コンポーネントは各可動反射エレメントが照明モードを変化させるように少なくとも第1位置と第2位置との間で移動可能な複数の可動反射エレメントを備え、該第2反射コンポーネントはパターニングデバイスの瞳面と関連する、第1反射コンポーネントおよび第2反射コンポーネントと、所定の照明モードのセットから選択された所望の照明モードをもたらすために前記複数の可動反射エレメントをそれぞれの所望の位置に設定するようにアレンジされ、またさらに前記可動反射エレメントのうちの少なくとも1つを所望の位置とは異なる補正位置に設定して結像パラメータの調整をもたらすようにアレンジされた制御システムと、を含む。また、該リソグラフィ装置は、前記調整された放射ビームを前記照明システムから受け且つ前記調整された放射ビームをパターニングしてパターン付き放射ビームを形成するように構成されるパターニングデバイスを支持するように構成されたサポートと、前記パターン付き放射ビームを基板上に投影するように構成された投影システムと、を含む。
[0018] 本発明のさまざまな態様の実施形態を、単なる例として、添付の概略図を参照して以下に説明する。これらの図面において同じ参照符号は対応する部分を示す。
[0019] 図1は、本発明の一実施形態に係るリソグラフィ装置を示す。 [0020] 図2は、図1のリソグラフィ装置をより詳細に示す。 [0021] 図3は、図1および図2のリソグラフィ装置のソースコレクタモジュールをより詳細に示す。 [0022] 図4は、図1および図2のリソグラフィ装置の照明システムを示し、可動視野ファセットミラーの機能を説明する。 [0022] 図5は、図1および図2のリソグラフィ装置の照明システムを示し、可動視野ファセットミラーの機能を説明する。 [0023] 図6は、本発明の一実施形態において用いられる照明モードの例を示す。 [0023] 図7は、本発明の一実施形態において用いられる照明モードの例を示す。 [0023] 図8は、本発明の一実施形態において用いられる照明モードの例を示す。 [0023] 図9は、本発明の一実施形態において用いられる照明モードの例を示す。 [0024] 図10は、図7〜図9の別々の照明モードを用いる、ピッチによるCDの変化のグラフである。 [0025] 図11は、図7〜図9の別々の照明モードを用いる、ピッチによるNILSの変化のグラフである。 [0026] 図12は、本発明の別の実施形態における照明モードの例を示す。 [0026] 図13は、本発明の別の実施形態における照明モードの例を示す。 [0027] 図14は、図12および図13の照明モードで得られる、ピッチによる水平および垂直ライン:スペース比のグラフである。 [0028] 図15は、本発明の別の実施形態において用いられる照明モードの例を示す。 [0028] 図16は、本発明の別の実施形態において用いられる照明モードの例を示す。 [0029] 図17は、図15の照明モードを用いることと比較した、図16の照明モードを用いる、ピッチによるCDの変化のグラフである。 [0030] 図18は、本発明の一実施形態に係る可動ファセットを含む視野ミラーに対する制御システムを示す。 [0031] 図19は、本発明の方法に係る2つのリソグラフィ装置を適合させる方法のフローチャートである。
[0032] 図1は、本発明の一実施形態に係るソースコレクタモジュールSOを含むリソグラフィ装置100を概略的に示している。このリソグラフィ装置は、放射ビームB(例えば、EUV放射)を調整するように構成された照明システム(イルミネータ)ILと、パターニングデバイス(例えば、マスクまたはレチクル)MAを支持するように構成され、かつパターニングデバイスを正確に位置決めするように構成された第1ポジショナPMに連結されたサポート構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構成され、かつ基板を正確に位置決めするように構成された第2ポジショナPWに連結された基板テーブル(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付けられたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成された投影システム(例えば、反射投影システム)PSと、を備える。
[0033] 照明システムとしては、放射を誘導し、整形し、または制御するための、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光コンポーネントを含むことができる。
[0034] サポート構造MTは、パターニングデバイスの向き、リソグラフィ装置の設計、および、パターニングデバイスが真空環境内で保持されているか否かなどの他の条件に応じた態様で、パターニングデバイスMAを保持する。サポート構造は、機械式、真空式、静電式またはその他のクランプ技術を使って、パターニングデバイスを保持することができる。サポート構造は、例えば、必要に応じて固定または可動式にすることができるフレームまたはテーブルであってもよい。サポート構造は、パターニングデバイスを、例えば、投影システムに対して所望の位置に確実に置くことができる。
[0035] 「パターニングデバイス」という用語は、基板のターゲット部分内にパターンを作り出すように、放射ビームの断面にパターンを与えるために使用できるあらゆるデバイスを指していると、広く解釈されるべきである。放射ビームに付与されたパターンは、集積回路などのターゲット部分内に作り出されるデバイス内の特定機能層に対応する場合がある。
[0036] パターニングデバイスは、透過型であっても、反射型であってもよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクは、リソグラフィでは公知であり、バイナリ、レベンソン型(alternating)位相シフト、およびハーフトーン型(attenuated)位相シフトなどのマスク型、ならびに種々のハイブリッドマスク型を含む。プログラマブルミラーアレイの一例では、小型ミラーのマトリックス配列が用いられており、各小型ミラーは、入射する放射ビームを様々な方向に反射させるように、個別に傾斜させることができる。傾斜されたミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付ける。
[0037] 照明システムなどの投影システムは、使われている露光放射にとって、あるいは真空の使用といった他の要因にとって適切な、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光コンポーネントを含むことができる。EUV放射に対して真空を用いることが望ましいことがある。というのは、他のガスは放射を吸収し過ぎる場合があるからである。従って、真空壁および真空ポンプを用いて、真空環境をビーム経路全体に提供することができる。
[0038] 本明細書に示されているとおり、リソグラフィ装置は、反射型のもの(例えば、反射型マスクを採用しているもの)である。
[0039] リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル(および/または2つ以上のマスクテーブル)を有するタイプのものであってもよい。そのような「マルチステージ」マシンにおいては、追加のテーブルを並行して使うことができ、または予備工程を1つ以上のテーブル上で実行しつつ、別の1つ以上のテーブルを露光用に使うこともできる。
[0040] 図1を参照すると、イルミネータILは、ソースコレクタモジュールSOから極端紫外線放射ビームを受ける。EUV光を生成する方法としては、EUV範囲の1つ以上の発光線を用いて材料を少なくとも1つの元素、例えばキセノン、リチウム、またはスズを有するプラズマ状態に変換することが含まれるが、必ずしもこれに限定されない。レーザ生成プラズマ(「LPP」:laser produced plasma)と呼ばれることが多いそのような方法において、必要な線発光素子を有する材料の液滴、流れ、またはクラスタなどの燃料をレーザビームで照射することによって、必要なプラズマを生成することができる。ソースコレクタモジュールSOは、レーザビームを供給し、燃料を励起するための図1に示されないレーザを含むEUV放射システムの一部であってよい。結果として得られるプラズマは、出力放射、例えばEUV放射を放出し、この出力放射は、ソースコレクタモジュール内に配置される放射コレクタを使用して集光される。例えば、COレーザを使用して燃料励起のためのレーザビームを供給する場合、レーザおよびソースコレクタモジュールは、別個の構成要素であってもよい。
[0041] そのような場合には、レーザは、リソグラフィ装置の一部を形成しているとはみなされず、また放射ビームは、レーザからソースコレクタモジュールへ、例えば、適切な誘導ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムを使って送られる。その他の場合においては、例えば、放射源が、DPP源と呼ばれることが多い放電生成プラズマEUVジェネレータである場合、放射源は、ソースコレクタモジュールの一体部分とすることもできる。
[0042] イルミネータILは、放射ビームの角強度分布を調節するアジャスタを含むことができる。望ましくは、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、それぞれσ-outerおよびσ-innerと呼ばれる)を調節することができる。さらに、イルミネータILは、ファセット視野ミラーデバイスおよびファセット瞳ミラーデバイスといったさまざまな他のコンポーネントを含むことができる。イルミネータを使って放射ビームを調整すれば、放射ビームの断面に所望の均一性および強度分布をもたせることができる。
[0043] 放射ビームBは、サポート構造(例えば、マスクテーブル)MT上に保持されているパターニングデバイス(例えば、マスク)MA上に入射して、パターニングデバイスによってパターン形成される。パターニングデバイス(例えば、マスク)MAから反射された後、放射ビームBは投影システムPSを通過し、投影システムPSは、基板Wのターゲット部分C上にビームの焦点をあわせる。第2ポジショナPWおよび位置センサPS2(例えば、干渉計デバイス、リニアエンコーダ、または静電容量センサ)を使って、例えば、さまざまなターゲット部分Cを放射ビームBの経路内に位置決めするように、基板テーブルWTを正確に動かすことができる。同様に、第1ポジショナPMおよび別の位置センサPS1を使い、パターニングデバイス(例えば、マスク)MAを放射ビームBの経路に対して正確に位置決めすることもできる。パターニングデバイス(例えば、マスク)MAおよび基板Wは、マスクアライメントマークM1およびM2と、基板アライメントマークP1およびP2とを使って、位置合わせされてもよい。
[0044] 例示の装置は、以下に説明するモードのうち少なくとも1つのモードで使用できる。
1.ステップモードにおいては、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームに付けられたパターン全体を一度にターゲット部分C上に投影する(すなわち、単一静的露光)。その後、基板テーブルWTは、Xおよび/またはY方向に移動され、それによって別のターゲット部分Cを露光することができる。
2.スキャンモードにおいては、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを同期的にスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。サポート構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度および方向は、投影システムPSの(縮小)拡大率および像反転特性によって決めることができる。
3.別のモードにおいては、プログラマブルパターニングデバイスを保持した状態で、サポート構造(例えば、マスクテーブル)MTを基本的に静止状態に保ち、また基板テーブルWTを動かす、またはスキャンする一方で、放射ビームに付けられているパターンをターゲット部分C上に投影する。このモードにおいては、通常、パルス放射源が採用されており、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、またはスキャン中の連続する放射パルスと放射パルスとの間に、必要に応じて更新される。この動作モードは、前述の型のプログラマブルミラーアレイといったプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0045] 上述の使用モードの組合せおよび/またはバリエーション、あるいは完全に異なる使用モードもまた採用可能である。
[0046] 図2は、ソースコレクタモジュールSOと、照明システムILと、投影システムPSとを備えるリソグラフィ装置100をより詳細に示している。ソースコレクタモジュールSOは、真空環境をソースコレクタモジュールSOの囲い構造220内に維持することができるように構築および配置される。EUV放射放出プラズマ210は、放電生成プラズマ源によって形成することができる。EUV放射は、ガスまたは蒸気、例えば、Xeガス、Li蒸気またはSn蒸気によって生成することができ、非常に高温のプラズマ210が生成されて電磁スペクトルのEUV範囲の放射を放出する。非常に高温のプラズマ210は、例えば、少なくとも部分的にイオン化されたプラズマをもたらす放電によって生成される。Xe、Li、Sn蒸気または他の適切なガスまたは蒸気の、例えば10Paの分圧が、放射を効率よく発生させるために必要となり得る。一実施形態において、励起されたスズ(Sn)のプラズマを設けてEUV放射を生成する。
[0047] 高温のプラズマ210が放出する放射は、放射源チャンバ211の開口内または開口の後ろに位置決めされる任意のガスバリアまたは汚染物質トラップ230(場合によっては汚染物質バリアまたはフォイルトラップとも呼ばれる)を介して、放射源チャンバ211からコレクタチャンバ212内に送られる。汚染物質トラップ230は、チャネル構造を含むことができる。また、汚染物質トラップ230は、ガスバリアまたはガスバリアとチャネル構造の組合せを含むことができる。本明細書で示される汚染物質トラップまたは汚染物質バリア230は、当該技術分野で知られているように、チャネル構造を少なくとも含む。
[0048] コレクタチャンバ211は、いわゆるかすめ入射コレクタとすることができる放射コレクタCOを含むことができる。放射コレクタCOは、上流放射コレクタ側251と下流放射コレクタ側252とを有する。コレクタCOを横切る放射は、格子スペクトルフィルタ240で反射されて仮想放射源点IFに合焦することが可能である。仮想放射源点IFは、通常中間焦点と呼ばれ、ソースコレクタモジュールは、中間焦点IFが囲い構造220の開口221に、または開口221の付近に位置するように配置される。仮想放射源点IFは放射放出プラズマ210の像である。
[0049] その後、放射は照明システムILを横切る。照明システムILは、パターニングデバイスMAにおける放射ビーム21の所望の角度分布およびパターニングデバイスMAにおける放射強度の所望の均一性を与えるように配置されたファセット視野ミラーデバイス22およびファセット瞳ミラーデバイス24を含むことができる。サポート構造MTによって保持されるパターニングデバイスMAで放射ビーム21が反射されると、パターン付きビーム26が形成され、パターン付きビーム26は、投影システムPSによって、反射エレメント28および30を介して、ウェーハステージまたは基板テーブルWTによって保持された基板W上に結像される。
[0050] 概して、図示されたエレメントより数の多いエレメントが照明光学ユニットILおよび投影システムPSに存在してよい。格子スペクトルフィルタ240は、リソグラフィ装置のタイプによって任意で存在してよい。さらに、図示されたミラーより数の多いミラーが存在してよい。例えば、図2に示すものと比較して、投影システムPS内に追加の1〜6つの反射エレメントが存在してよい。
[0051] 図2に示すコレクタ光学系COは、コレクタ(またはコレクタミラー)の単なる一例として、かすめ入射リフレクタ253、254および255を有する入れ子式コレクタとして描かれている。かすめ入射リフレクタ253、254および255は、光軸Oの周りで軸方向に対称的に配置され、このタイプのコレクタ光学系COは、DPP源と呼ばれることが多い放電生成プラズマ源と組み合わせて使用されることが好ましい。
[0052] あるいは、ソースコレクタモジュールSOは、図3に示すように、LPP放射システムの一部とすることができる。レーザLAは、キセノン(Xe)、スズ(Sn)、またはリチウム(Li)などの燃料内にレーザエネルギーを堆積させるように配置され、それによって電子温度が数10eVの高電離プラズマ210が生成される。イオンの脱励起および再結合中に生成されたエネルギー放射は、プラズマから放出され、囲い構造220において近垂直入射コレクタ光学系COによって集光され、開口221上に集束される。
[0053] 図4および図5は、第1反射コンポーネント22および第2反射コンポーネント24を用いて照明ビームを調整し、マスクに誘導する方法を示す概略図である。なお、他の光コンポーネントがイルミネータILに含まれ得るが、分かりやすくするために以下の説明から省略される。
[0054] 本実施形態において、第1反射コンポーネント22は、視野ファセットミラー110として通常知られている複数の一次反射エレメントを備える。第2反射コンポーネント24は、瞳ファセットミラー120として通常知られている複数の二次反射エレメントを備える。一次反射エレメント110は、放射を二次反射エレメント120に向けて誘導(反射)するように構成される。第1反射コンポーネントの一次反射エレメントは、放射の損失を低減させるために、または最小化するために、実質的に隙間を残さないよう十分に近接するように並列に構成される。第2反射コンポーネントの二次反射エレメントも、放射の損失を低減させるために、または最小化するために構成される。
[0055] 第2反射コンポーネント24は瞳面に関連し、従って第2反射コンポーネントは仮想放射源として機能する。一実施形態において、第2反射コンポーネントは、瞳面に位置し、かつ瞳面に一致する。一実施形態において、第2反射コンポーネントは、瞳面から短い距離の分ずれてよい。二次反射エレメントは、放射を誘導してパターニングデバイスMA上の照明視野を適切に満たす。一実施形態において、イルミネータ内に、コンデンサミラーまたはミラーシステム(図示せず)を配置してよく、それによってパターニングデバイス上に視野ファセットミラーを結像する。
[0056] マスクを照明する放射の角度分布は、第2反射コンポーネントにおける放射の空間強度分布によって決まる。空間強度分布は、どの二次反射エレメントが照明されるか、すなわち、第2反射コンポーネントでの照明モードによって決まる。二次反射エレメントの照明は、ひいては、一次反射エレメントの各々の位置によって決まる。
[0057] 照明モードは、一次反射エレメント110の各々を選択し、それを必要に応じて第1位置または第2位置に移動させることによって制御される。一次反射エレメント110A、110B、および110Cが第1位置の向きにあると、放射のサブビームが、関連する第1二次反射エレメント120A’、120B’、および120C’に向けて反射される(図4を参照)。一次反射エレメント110A、110B、および110Cが第2位置の向きにあると、放射のサブビームが、別の関連する第2二次反射エレメント120A’’、120B’’、および120C’’に向けて反射される(図5を参照)。
[0058] 二次反射エレメント120A’、120B’、および120C’は、第2反射コンポーネントにおける実質的な第1関連場所であることが理解されるであろう。同様に、二次反射エレメント120A’’、120B’’、および120C’’は、第2反射コンポーネントにおける実質的な第2関連場所である。
[0059] 各一次反射エレメントの第1位置および第2位置は、他の一次反射エレメントの第1位置および第2位置に対して異なり、かつ特有である。同様に、各一次反射エレメントに関連する2つの二次反射エレメントは、他の一次反射エレメントに関連する二次反射エレメントに対して異なり、かつ特有である。各一次反射エレメントを適切に選択し、移動させることによって、瞳面における所要の二次反射エレメントに向けて放射を誘導して、特定の照明モードを有する特に望ましい空間強度分布を生成することができる。各一次反射エレメントの位置を必要に応じて制御することによって、瞳面における放射ビームの空間強度分布を、異なる照明モードを有する他の望ましい空間強度分布に切り換える、すなわち変更することができる。例えば、サブビームを瞳面における特定の場所に誘導して、輪帯、ダイポール、四極などのオフアクシス形状照明モードを有する空間強度分布を生成することができる。
[0060] 一実施形態において、二次反射エレメントは、二次反射エレメントの各々の向きが固定され不変であるように、恒久的に取り付けられる。各一次反射エレメントによる2つの異なる二次反射エレメントの照明を可能にするために、一次反射エレメントの二次反射エレメントに対する比率は、少なくとも1:2である。一次反射エレメントは、常に単一の対応する二次反射エレメント上への放射の誘導のみを行うように構成される。さらに、一次反射エレメントの光パワーは、一次反射エレメントによって反射された放射ビームが、常に単一の対応する二次反射エレメントのみを照明するのに十分なほど小さいことが確実であるように、適切なサイズおよびエタンデュ比を有する放射ビームを生成するように選択および構成される。
[0061] 図4および図5はいくつかの一次反射エレメントおよび関連する二次反射エレメントしか示していないが、イルミネータは、より数の多い一次反射エレメントおよびより数の多い二次反射エレメントを備えることができる。
[0062] 放射ビームの平面に交差する平面の2次元格子状構成内に、一次反射エレメントのアレイを配置することができ、および/または、二次反射エレメントのアレイを配置することができる。第1反射コンポーネントは、一次反射エレメントの1つ以上のアレイを備えることができる。同様に、第2反射コンポーネントは、二次反射エレメントの1つ以上の対応するアレイを備えることができる。例えば、リソグラフィ装置は、二次反射エレメントの32のアレイとともに使用される一次反射エレメントの16のアレイを備えることができる。上述のとおり、本明細書において「アレイ」という用語は、単一のアレイまたはアレイのグループを示すことができる。
[0063] 説明したとおり、リソグラフィ装置は、各一次反射エレメントの所要の位置を選択し、それに応じて当該一次反射エレメントを当該所要位置に移動させることによって、イルミネータ内の瞳面における所望の照明モードを生成することができる。一実施形態において、各一次反射エレメントの向きは、2つの位置、第1位置および第2位置にのみ限定され、他の位置(例えば、第1位置と第2位置との間の位置)に移動可能な通常動作に存在しない。そのような実施形態は、以下に二位置視野ファセットミラー(two-position field facet mirror)と呼ばれる。
[0064] 別の実施形態において、各一次反射エレメントは、例えば第1位置と第2位置との間にあり得る第3位置に移動可能である。第3位置において、一次反射エレメントによって反射された放射は、基板に到達しない。第3位置は、「オフ」位置として見なすことができる。そのような実施形態は、以下に三位置視野ファセットミラー(three-position field facet mirror)と呼ばれる。三位置視野ファセットミラーの変形例において、第3位置は、少なくとも一部の放射が基板に到達するように瞳面内の位置に放射を誘導する。三位置視野ファセットミラーは、第3位置が「オフ」位置であるいくつかのファセットと、第3位置が「オン」位置であるいくつかのファセットとを有することができる。しかし、それらの位置は第1位置および第2位置とは異なる。
[0065] 一実施形態において、各一次反射エレメントは、(所定の)軸を中心に当該一次反射エレメントを回転させることによって位置と位置との間を移動する。瞳面における第1関連場所(例えば、第1関連二次反射エレメント)および第2関連場所(例えば、第2関連二次反射エレメント)の場所は、(第1場所および第2場所に関連する)第1位置および第2位置に対する回転角によって決まる。
[0066] 一実施形態において、各一次反射エレメントの第1位置および第2位置(続いて第1および第2関連場所)は、生成可能な有用な照明モードの数を最大化するように選択される。
[0067] 一次反射エレメントは、ドライバによって第1位置と第2位置との間で軸を中心に回転させることができる。
[0068] 1つ以上の一次反射エレメントは、駆動されて同一の軸を中心に回転するように構成されてよい。1つ以上の他の一次反射エレメントは、駆動されて他の軸を中心に回転するように構成されてよい。
[0069] 一実施形態において、各一次反射エレメントは、当該反射エレメントを駆動するドライバモータ111を備える。機械式エンドストップによって第1位置および第2位置を画定することができ、従って二位置視野ファセットミラーにおいて、ドライバモータに印加されるドライバ信号は、バイナリ信号とすることができる。そのようなドライブモータに対するバイナリ(二値)ドライバ信号の使用によって、各ミラーの向きのフィードバック制御を提供する制御システムの複雑性が緩和されると理解されよう。三位置視野ファセットミラーについては、より複雑な制御システムが必要であるが、そのようなミラーは、追加の照明モードを画定することを可能にするという利点を有する。例えば、多重照明モードでの極のサイズは、低減スループットを犠牲にして制御することができる。
[0070] 図6は、視野ファセットミラーによって生成される照明モードを示している。図6に示す照明モードは、輪帯照明モードである。これは、可動ファセットミラーがさまざまな位置に放射を誘導する方向を適切に選択すること(チャネル割当て)によって生じるように視野ファセットミラーが配置される、複数の基本照明モードのうちの1つである。他の基本照明モードは、ダイポール照明、四極照明、および通常照明を含むことができる。達成可能な基本照明モードの組は、これらのタイプの変形例、例えば、別々のサイズの極を有するダイポールまたは四極照明モード、または別々のσ-innerまたはσ-outerの値を有する輪帯照明モードを含むことができる。結像される所与のパターンについては、これらの基本照明モードの1つが選択される。基本照明モードは視野ファセットミラーによって生成可能なそのようなモードの限定された組から選択されるので、それはあらゆる所与のパターンを結像することに対して理想的でない場合がある。DUV放射を用いるリソグラフィ装置において、小規模な処置で、例えば、ズームアキシコンまたはレチクルマスキングブレードを使用して、または基板を傾けて制御された量のデフォーカスを導入することによって、そのような基本照明モードを調整することが可能である。しかし、そのような小規模な調整は、EUV放射を用いるリソグラフィ装置において可能でない。というのは、反射照明光学系は微調整を行うことができず、通常、像スリットが湾曲するからである。
[0071] しかし、本発明者らは、基本照明モードを生じさせるのに必要な異なる位置に視野ファセットの一部を個別に切り換えることによって、照明モードに対する微調整を行うことができると判断している。所定の基本照明モードを生じさせるために、ともに切り換えられるグループにして視野ファセットミラーが配置される場合、本発明は、望ましくは、それらのグループ内で個別のミラーを切り換える。一部の視野ファセットミラーを別々の位置に切り換えることによって、σ-innerまたはσ-outerなどのパラメータにより単純な照明モードを招かないことが望ましい。従って、それらのパラメータを調整する手段は設けないが、基板レベルで測定されたパラメータに影響を及ぼすことができる。この手法は、特に近接効果、例えば、CD対ピッチ、規格化像対数勾配(NILS)対ピッチ、およびHVオフセット対ピッチを調整するのに効果的である。調整可能な他の結像パラメータは、グローバルHVオフセットを含む。また、本発明の一実施形態は、リソグラフィ装置を適合させるのに有用である。
[0072] 本発明の一実施形態は、別々の視野ファセットを所定の像パラメータに関する別々の位置に個別に切り換える効果を線形に合計できる場合に特に有効である。本発明者らは、視野ファセットの20%以下、好ましくは10%以下が基本設定から切り換えられる場合に別々のファセットの効果を線形に合計する機能が有効であると判断している。このことは、具体例を参照して以下でさらに述べる。
[0073] 図7は、図6の輪帯照明モードの明輪内に2つの暗い、例えば、黒いバーを生成するように、10の視野ファセットを「オフ」位置に切り換えることによって図6の内部から形成される、おおよその照明モードを示している。この例では、暗いバーは瞳面のX軸上に位置し、Y軸に平行に延在する。図8は、明輪内に4つの暗い正方形を生成するように、16の視野ファセットを「オフ」位置に切り換えることによって図6の基本照明モードから形成される、おおよその照明モードを示している。この例では、4つの暗い正方形がX軸およびY軸を中心に、これらの軸に対して45度の線上で、対称的に位置する。図9は、図7の暗いバーを生成するために使用される10のファセットと図8の暗い正方形を生成するために使用される16のファセットとをオフ位置に切り換える場合に結果として得られる、おおよその照明モードを示している。従って、図9の照明モードは、暗いバーおよび暗い正方形をともに含む。
[0074] これらの異なる照明モードのCDに対する効果が、0.3のNAを有し、かつ7nmlsレジストボケを含む装置内の22nmノードパターンのシミュレーションの結果を表す図10のグラフに示されている。同様の条件が以下に述べるさらなるシミュレーションに用いられた。図10では、nm単位のピッチがX軸に沿って示され、図6の照明モードに対するCDの変化がY軸上に示されている。図10では、図7の照明モードのCDに対する効果が、黒いひし形をつなぐdCD 10f Xと表記された線によって示されている。図8の照明モードの効果は、黒い正方形をつなぐ「dCD 16f XY」と表記された線によって示されている。これらの2つの曲線の合計は、黒い三角形をつなぐ「dCD SP」と表記された線によって示されている。これは、図9の複合照明モードを用いて得られる模擬結果である、ばつ印をつなぐ「dCD SV」と表記された線に非常に類似しており、実質上、実験誤差の限界内で同一であることが分かるであろう。図11は、規格化像対数勾配に関する同様の結果を示している。図6の照明モードで得られる規格化像対数勾配と比較した、図7(ひし形)および図8(正方形)の照明モードならびにそれらの変化の合計(三角形)、そして図9の照明モードから得られる模擬結果(ばつ印)についての規格化像対数勾配の変化の線が描かれている。再び、予測結果および検証結果がほぼ正確に一致することが分かる。
[0075] この例において、使用された視野ファセットミラーは300を超えるファセットを有しており、それらすべてが「オン」に切り換えられて図6の輪帯照明モードを生成するので、結像パラメータを調整するためにオフに切り換えられるファセットの数は、全体の約10%未満である。基板レベルでの対応する像強度低下、従ってスループットの低下が存在することが理解されるであろう。しかし、調整に起因する結像の改善が歩留まりの改善をもたらす場合、そのようなスループットの損失は許容できる。
[0076] 図12は、別の基本照明モードである、オフアクシス四極モードを示している。このモードでは、瞳面の強度分布は、X軸とY軸との間の45度線上で照明システムの光軸を中心に対称的に位置する4つの明極を有する。本発明の方法の一例において、これを、多数のファセットを「オフ」位置に設定することによって図13におおよそ示すように修正する。ファセットの数は、「オン」に設定されて図12の照明モードを生じさせる数の10%未満に制限され、ピクセルは、ピッチの関数としてのターゲット垂直ラインスペース比に適合するように選択される。これは、星印をつなぐVtと表記された線として図14に示されている。図12の基本照明モードのラインスペース比は、図14のひし形をつなぐbと表記された線として示されている。実際に得られた垂直ラインスペース比(正方形、V)および予測垂直ラインスペース比(ばつ印、Vp)は、ターゲットと実質上区別できないことが分かるであろう。この例では、水平ラインスペース比H(三角形)が垂直ラインスペース比と異なるが、これは最適化によって許容された。別の最適化は、HVオフセットを最小化することを、ターゲットを適合させることに優先する場合がある。
[0077] 本発明のさらなる例を図15〜図17に関連して説明する。この例において、基本照明モードはダイポールモードであり、各極は、X軸に合わせた輪帯照明モードの90度のアークである。このモードは図16におおよそ示すように、ダイポールに寄与するファセットのうちの特定のファセットを別の「オン」位置に切り換えるように修正される。従って、切り換えられた各ファセットは、基本照明モードの明ダイポール内の暗領域およびダイポール照明モード外の別の暗領域内の明領域を生成する。図17から分かるように、この構成によって、図16の照明モードを用いて得られる曲線(三角形)が示すように、当該曲線に対する所望のdCD対ピッチ曲線(ひし形)の接近した整合を可能にする。
[0078] 本発明の一実施形態を実施するように配置された制御システムが図18に示されている。コントローラ60は、インターフェイス61から、基本照明モードおよび最適化または整合ターゲットを規定する情報を受信する。インターフェイス61は、ユーザが必要な情報を入力するユーザインターフェイス、装置全体のアクティビティを調整する監視機械制御システムに対するインターフェイス、および/またはリソセルまたはクラスタ内の他の関連デバイスとすることができる。インターフェイス61は、基本照明モードおよび最適化または整合ターゲットを規定する情報を記憶するメモリも含むことができる。情報に基づいて、コントローラ60は、アクチュエータ111に指示して視野ファセットミラーを所要位置に設定させて適切な照明モードを生じさせる。そのようにして、コントローラ60は、視野ファセットミラーごとに、基本照明モードに必要な位置から「オフ」位置または別の「オン」位置に視野ファセットミラーを個別に切り換える効果を特定する情報を記憶するメモリ62を参照する。コントローラ60は、この情報を用いて、他の視野ファセットミラーが補正位置に設定される必要があるかどうかについて、最適化または整合ターゲットおよび上述の原理に基づいて判断する。
[0079] 上述のとおり、本発明は、異なるリソグラフィ装置を整合させるのに特に有用である。いくつかの異なるリソグラフィ装置に関する所与のレシピに係る所与のパターンに対して基板を露光する場合、異なる装置によってもたらされた結果が一定であることが望ましいことが多い。従って、1つの装置が基準装置と称される。リソグラフィ装置の関連する調整可能なパラメータのすべての値であるレシピが基準装置に関して最適化されると、当該設定が他の装置の起点として使用されるが、安定した性能を提供するように調整される。このプロセスが図19に詳細に示されている。図19は、2列のステップを示している。100Aと表記された列のステップは、基準装置に対して行われる。100Bと表記された列のステップは、基準装置に整合させるべき装置に対して行われる。これらのステップは、いかなる数の他の装置に対して行われてよく、所与のレシピを印刷するのに使用されるべきすべての他の装置に対して行われることが望ましい。
[0080] ステップS1において、プロセスの基本レシピを設定する。基本レシピは、レチクル、レチクルに施された光近接効果補正、露光の前後に行われるプロセスステップ、および基本照明モードの選択についての詳細を含むことができる。ステップ2において、基本レシピの動作を決定する。これは、シミュレーションまたは試験基板の実際の印刷によって行うことができる。結果が良好でない場合、基本レシピのパラメータが再度決定される。ステップ2では、さらに最適化されるべきパラメータ、例えば、近接効果電気性および/またはテレセントリック性を測定する。次のステップS3は、特定の視野ファセットを他の位置に切り換えることによって基本照明モードに対する調整を決定し、それによって目的とする結像パラメータ、例えば、ピッチによるCDの変動が改良される。ステップS4において、再びシミュレーションまたは試験基板の実際の印刷によって、これらの調整の効果を決定する。結果が良好である場合、手順は進行し、そうでなければ、さらなる調整を行うことができる。ステップS5において、基準装置100Aの動作の最終特徴付けを行って整合させるべき標準を設ける。
[0081] 一方、基準リソグラフィ装置100Aに整合させるリソグラフィ装置100Bに対して、基本レシピを適用し(S6)、検証する(S7)。複数のリソグラフィ装置を基準装置100Aに整合させる場合、これらのステップを別々のリソグラフィ装置に対して並行に実行することができる。適切なレベルの動作がリソグラフィ装置100Bに対して確立されると、関連する結像パラメータについて基準装置の動作と整合するように、基本照明モードを上述のとおり修正する。なお、基準装置および整合させる装置の特性のわずかな変動により、リソグラフィ装置100Bに適用される基本照明モードに対する調整は、基準装置に適用される調整と異なる場合がある。
[0082] 整合パラメータが決定されると、基準装置(S9)および整合した装置100B(S11)の両方において基板の製造を開始することができる。通常どおり、製造露光を監視し(S10、S12)、必要に応じて関連する結像パラメータのさらなる調整を行うことができる。
[0083] この整合プロセスについては、初期入力は、主要なシステムパラメータ、特に、照明設定およびアポディゼーションプロファイルである。整合プロセスについて、適用する性能指数および重み係数を指定することも必要である。整合プロセスに関するさらなる制限は、実現される透過率である。これは実際に、「オフ」位置に設定することができるファセットの数を制限する。一実施形態において、90%、80%、75%、60%、または50%の透過率制限を設定することができる。整合を行うために、基本照明モード、各視野ファセットが「オフ」に設定された基本照明モード、および各視野ファセットが別の「オン」位置に設定された照明モードから得られる、目的とする結像パラメータの値を計算することが必要である。しかし、これらの値は、シミュレーションによって前もって決定することができ、基準装置および整合させる他の装置に適用することができる。これらの値を考慮すれば、所望の最適化を行うための適切な解決策を、従来技術を使用して行うことができる。
[0084] 本明細書において、IC製造におけるリソグラフィ装置の使用について具体的な言及がなされているが、本明細書記載のリソグラフィ装置が、集積光学システム、磁気ドメインメモリ用のガイダンスパターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造といった他の用途を有し得ることが理解されるべきである。当業者にとっては当然のことであるが、そのような別の用途においては、本明細書で使用される「ウェーハ」または「ダイ」という用語はすべて、それぞれより一般的な「基板」または「ターゲット部分」という用語と同義であるとみなしてよい。本明細書に記載した基板は、露光の前後を問わず、例えば、トラック(通常、基板にレジスト層を塗布し、かつ露光されたレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツールで処理されてもよい。適用可能な場合には、本明細書中の開示内容を上記のような基板プロセシングツールおよびその他の基板プロセシングツールに適用してもよい。さらに基板は、例えば、多層ICを作るために複数回処理されてもよいので、本明細書で使用される基板という用語は、すでに多重処理層を包含している基板を表すものとしてもよい。
[0085] 「レンズ」という用語は、文脈によっては、屈折、反射、磁気、電磁気、および静電型光コンポーネントを含む様々な種類の光コンポーネントのいずれか1つまたはこれらの組合せを指すことができる。
[0086] 以上、本発明の具体的な実施形態を説明してきたが、本発明は、上述以外の態様で実施できることが明らかである。例えば、本発明の特定の特徴は、上記に開示した方法を表す1つ以上の機械読取可能命令のシーケンスを含むコンピュータプログラム、またはこのようなコンピュータプログラムが記憶されたデータ記憶媒体(例えば、半導体メモリ、磁気ディスクまたは光ディスク)として具体化されてもよい。上記の説明は、制限ではなく例示を意図したものである。したがって、当業者には明らかなように、添付の特許請求の範囲を逸脱することなく本記載の発明に変更を加えてもよい。

Claims (25)

  1. パターンをパターニングデバイスから基板上に投影するリソグラフィ装置用の、放射ビームを調整しかつ該放射ビームを該パターニングデバイス上に誘導するように構成された照明システムであって、
    第1反射コンポーネントおよび第2反射コンポーネントであって、該第1反射コンポーネントは前記放射ビームの放射を該第2反射コンポーネント上に誘導するように配置され、該第1反射コンポーネントは各可動反射エレメントが照明モードを変化させるように、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導する第1位置と、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導させない第2位置と、の間で少なくとも移動可能な複数の可動反射エレメントを備え、該第2反射コンポーネントは前記パターニングデバイスの瞳面と関連する、第1反射コンポーネントおよび第2反射コンポーネントと、
    前記複数の可動反射エレメントを設定する制御システムと、を備え、
    前記制御システムは、
    前記第1位置および前記第2位置のいずれかに移動させて所定の照明モードのセットから選択された所望の照明モードに設定する第1の設定と、
    前記第1の設定に次いで前記複数の可動反射エレメントのうちの少なくとも1つを前記所望の照明モードにおいて対応する位置とは異なる補正位置であって、前記パターンの近接効果補正をもたらす補正位置に設定して結像パラメータ調整する第2の設定と、実行する
    照明システム。
  2. 前記制御システムは、ピッチによるCDの変動、ピッチによるNILSの変動、およびピッチによるライン:スペース比からなる群から選択される結像パラメータの調整をもたらす、請求項1に記載の照明システム。
  3. 前記制御システムは、前記可動エレメントのうちの前記少なくとも1つを、該可動エレメントのうちの前記少なくとも1つが放射を前記基板に到達しないような方向に誘導するような前記第2位置に、設定する、請求項1または2に記載の照明システム。
  4. 前記制御システムは、前記可動エレメントのうちの前記少なくとも1つを、該可動エレメントのうちの前記少なくとも1つが放射を前記基板に到達するような方向に誘導するような前記第1位置に、設定する、請求項1または2に記載の照明システム。
  5. 前記制御システムは、前記第2の設定において、前記可動反射エレメントのうちの前記少なくとも1つを、暗点が前記所望の照明モードの明領域に形成されるように、設定する、請求項1乃至のいずれか1項に記載の照明システム。
  6. 前記制御システムは、前記第2の設定において、前記可動反射エレメントのうちの前記少なくとも1つを、明点が前記所望の照明モードの暗領域に形成されるように、設定する、請求項1乃至のいずれか1項に記載の照明システム。
  7. 前記制御システムは、
    選択位置における選択可動反射エレメントの効果の合計が前記結像パラメータの所望の調整に可能な限り近くなるように前記可動反射エレメントのうちの1つ以上とその位置とを選択するためのセレクタを、前記制御システムが採用可能であるように、各位置における前記可動反射エレメントの各々の前記結像パラメータに対する効果を特定する情報を記憶するメモリと、
    選択位置に選択可動反射エレメントを設定する効果の合計が前記結像パラメータの所望の調整に可能な限り近くなるように前記可動反射エレメントの1つ以上とその位置とを選択するセレクタと、を備え、
    前記制御システムは、前記第2の設定において、前記選択可動反射エレメントを前記選択位置に設定する、請求項1乃至のいずれか1項に記載の照明システム。
  8. 前記可動反射エレメントは、前記第2反射コンポーネント上の少なくとも1つの対称度を有する位置に放射を誘導し、
    前記メモリは、前記可動反射エレメントの基板に関する情報を記憶し、
    前記制御システムは、前記記憶された情報および前記対称度に基づいて、情報が記憶されない可動反射エレメントの効果を計算するカルキュレータをさらに備える、請求項に記載の照明システム。
  9. 前記制御システムは、前記第1の設定において、通常照明、ダイポール照明、四極照明、軟四極照明、および輪帯照明からなる群から前記所定の照明モードを選択する、請求項1乃至のいずれか1項に記載の照明システム。
  10. 前記制御システムは、前記第2の設定において、前記可動反射エレメントの約20%未満を補正位置に設定する、請求項1〜のいずれか1項に記載の照明システム。
  11. 前記制御システムは、前記可動反射エレメントの約10%未満を補正位置に設定する、請求項10に記載の照明システム。
  12. デバイスを製造するためのリソグラフィ方法であって、
    放射ビームを第1反射コンポーネント上に誘導し、それによって反射し第2反射コンポーネントに入射させることであって、該放射ビームはその後パターニングデバイスに入射し、該第1反射コンポーネントは複数の可動反射エレメントを備え、各可動反射エレメントは、前記パターニングデバイスの照明モードを変化させるように、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導する第1位置と、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導させない第2位置と、の間で少なくとも移動可能であることと、
    前記パターニングデバイスを用いて前記放射ビームをパターニングすることと、
    前記パターン付き放射ビームを基板上に投影することと、
    前記複数の可動反射エレメントを前記第1位置および前記第2位置のいずれかに移動させて所定の照明モードのセットから選択された所望の照明モードに設定する第1の設定を実行することと、
    前記複数の可動反射エレメントのうちの少なくとも1つを前記所望の照明モードにおいて対応する位置とは異なる補正位置であって、前記パターンの近接効果補正をもたらす補正位置に設定して結像パラメータ調整する第2の設定を実行することと、を含む、リソグラフィ方法。
  13. 前記可動反射エレメントのうちの前記少なくとも1つは、ピッチによるCDの変動、ピッチによるNILSの変動、およびピッチによるライン:スペース比からなる群から選択される結像パラメータの調整をもたらす補正位置に設定される、請求項12に記載のリソグラフィ方法。
  14. 前記可動反射エレメントのうちの前記少なくとも1つは、該可動反射エレメントのうちの前記少なくとも1つが放射を前記基板に到達しないような方向に誘導するような前記第2位置に、設定される、請求項12または13に記載のリソグラフィ方法。
  15. 前記可動反射エレメントのうちの前記少なくとも1つは、該可動反射エレメントのうちの前記少なくとも1つが放射を前記基板に到達するような方向に誘導するような前記第1位置に、設定される、請求項12または13に記載のリソグラフィ方法。
  16. 前記第2の設定において、前記可動反射エレメントのうちの前記少なくとも1つは、明点が前記所望の照明モードの暗領域に形成されるように設定される、請求項12乃至15のいずれか1項に記載のリソグラフィ方法。
  17. 前記第2の設定において、前記可動反射エレメントのうちの前記少なくとも1つは、暗点が前記所望の照明モードの明領域に形成されるように設定される、請求項12乃至16のいずれか1項に記載のリソグラフィ方法。
  18. 前記可動反射エレメントの各々が採用可能な各位置における前記可動反射エレメントの各々の前記結像パラメータに対する効果を特定する情報を記憶することと、
    選択可動反射エレメントを選択位置に設定する効果の合計が前記結像パラメータの所望の調整に可能な限り近くなるように前記可動反射エレメントのうちの1つ以上とその位置とを選択することと、
    前記第2の設定において、前記選択可動反射エレメントを前記選択位置に設定することと、をさらに含む、請求項12乃至17のいずれか1項に記載のリソグラフィ方法。
  19. 前記可動反射エレメントは、前記第2反射コンポーネント上の少なくとも1つの対称度を有する位置に放射を誘導し、
    前記記憶することは、前記可動反射エレメントの基板に関する情報を記憶することを含み、
    前記方法は、前記記憶された情報および前記対称度に基づいて、情報が記憶されない可動反射エレメントの効果を計算することを含む、請求項18に記載のリソグラフィ方法。
  20. 前記第1の設定において、前記所定の照明モードは、従来の照明、ダイポール照明、四極照明、軟四極照明、および輪帯照明からなる群から選択される、請求項12乃至18のいずれか1項に記載のリソグラフィ方法。
  21. 前記第2の設定において、前記可動反射エレメントのうちの少なくとも1つを補正位置に設定することは、前記可動反射エレメントの約20%未満を補正位置に設定することを含む、請求項12乃至20のいずれか1項に記載のリソグラフィ方法。
  22. 前記可動反射エレメントのうちの少なくとも1つを補正位置に設定することは、前記可動反射エレメントの約10%未満を補正位置に設定することを含む、請求項21に記載のリソグラフィ方法。
  23. パターンをパターニングデバイスから基板上に投影するリソグラフィ装置であって、放射ビームを調整しかつ該放射ビームを該パターニングデバイス上に誘導するように構成された照明システムを備えるリソグラフィ装置を制御する命令を記憶するコンピュータプログラムであって、
    前記照明システムは、
    第1反射コンポーネントおよび第2反射コンポーネントであって、該第1反射コンポーネントは前記放射ビームの放射を該第2反射コンポーネント上に誘導するように配置され、かつ各可動反射エレメントが照明モードを変化させるように、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導する第1位置と、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導させない第2位置との間で少なくとも移動可能な複数の可動反射エレメントを備え、該第2反射コンポーネントは前記パターニングデバイスの瞳面と関連する、第1反射コンポーネントおよび第2反射コンポーネントと、
    前記複数の可動反射エレメントをそれぞれの所望の位置に設定する制御システムと、を備え、
    前記命令は、
    前記複数の可動反射エレメントを前記第1位置および前記第2位置のいずれかに移動させて所定の照明モードのセットから選択された所望の照明モードを画定する第1の設定と、
    前記第1の設定に次いで前記複数の可動反射エレメントのうちの少なくとも1つを前記所望の照明モードにおいて対応する位置とは異なる補正位置であって、前記パターンの近接効果補正をもたらす補正位置に設定して結像パラメータを調整する第2の設定と、を含む方法を実行するのに有効である、コンピュータプログラム。
  24. レシピに従って複数のリソグラフィ装置を適合させてデバイスを製造する方法であって、各リソグラフィ装置は、パターンをパターニングデバイスから基板上に投影し、また放射ビームを調整しかつ該放射ビームを該パターニングデバイス上に誘導するように構成された照明システムを備え、
    前記照明システムは、
    第1反射コンポーネントおよび第2反射コンポーネントであって、該第1反射コンポーネントは前記放射ビームの放射を該第2反射コンポーネント上に誘導するように配置され、かつ各可動反射エレメントが照明モードを変化させるように、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導する第1位置と、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導させない第2位置と、の間で少なくとも移動可能な複数の可動反射エレメントを備え、該第2反射コンポーネントは前記パターニングデバイスの瞳面と関連する、第1反射コンポーネントおよび第2反射コンポーネントと、
    前記複数の可動反射エレメントをそれぞれの所望の位置に設定する制御システムと、を備え、
    該方法は、
    前記リソグラフィ装置のうちの第1リソグラフィ装置に対する照明設定を、所定の照明モードのセットから基本照明モードを選択し、かつ前記第1リソグラフィ装置の前記複数の可動反射エレメントを前記第1位置および前記第2位置のいずれかに移動させて前記基本照明モードを画定し、前記第1リソグラフィ装置の前記複数の可動反射エレメントのうちの少なくとも1つを前記基本照明モードに対応する位置とは異なる補正位置であって、前記パターンの近接効果補正をもたらす補正位置に設定し結像パラメータの調整をもたらすことによって、前記基本照明モードを最適化することと、
    前記リソグラフィ装置のうちの第2リソグラフィ装置の照明設定を、前記第2リソグラフィ装置の前記複数の可動反射エレメントを前記第1位置および前記第2位置のいずれかに移動させて基本照明モードを画定し、前記第2リソグラフィ装置の前記複数の可動反射エレメントのうちの少なくとも1つを前記基本照明モードにおいて対応する位置とは異なる補正位置であって、前記パターンの近接効果補正をもたらす補正位置に設定することによって、前記第2リソグラフィ装置の結像性能が前記リソグラフィ装置のうちの前記第1リソグラフィ装置に適合するように決定することと、を含む、方法。
  25. リソグラフィ装置であって、
    放射ビームを調整する照明システムであって、
    第1反射コンポーネントおよび第2反射コンポーネントであって、該第1反射コンポーネントは前記放射ビームの放射を該第2反射コンポーネント上に誘導するように配置され、該第1反射コンポーネントは各可動反射エレメントが照明モードを変化させるように、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導する第1位置と、前記放射ビームの少なくとも一部を前記パターニングデバイス上に誘導させない第2位置と、の間で少なくとも移動可能な複数の可動反射エレメントを備え、該第2反射コンポーネントはパターニングデバイスの瞳面と関連する、第1反射コンポーネントおよび第2反射コンポーネントと、
    前記複数の可動反射エレメントを前記第1位置および前記第2位置のいずれかに移動させて所定の照明モードのセットから選択された所望の照明モードに設定し、前記複数の可動反射エレメントのうちの少なくとも1つを前記所望の照明モードにおいて対応する位置とは異なる補正位置であって、前記パターンの近接効果補正をもたらす補正位置に設定して結像パラメータの調整をもたらす制御システムと、を備える照明システムと、
    前記調整された放射ビームを前記照明システムから受け且つ前記調整された放射ビームをパターニングしてパターン付き放射ビームを形成するパターニングデバイスを支持するサポートと、
    前記パターン付き放射ビームを基板上に投影する投影システムと、を備える、リソグラフィ装置。
JP2012545188A 2009-12-23 2010-11-19 照明システム、リソグラフィ方法、コンピュータプログラム、デバイス製造方法、およびリソグラフィ装置 Active JP5775530B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US28973609P 2009-12-23 2009-12-23
US61/289,736 2009-12-23
PCT/EP2010/067834 WO2011076500A1 (en) 2009-12-23 2010-11-19 Lithographic apparatus and device manufacturing method

Publications (2)

Publication Number Publication Date
JP2013516055A JP2013516055A (ja) 2013-05-09
JP5775530B2 true JP5775530B2 (ja) 2015-09-09

Family

ID=43662252

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012545188A Active JP5775530B2 (ja) 2009-12-23 2010-11-19 照明システム、リソグラフィ方法、コンピュータプログラム、デバイス製造方法、およびリソグラフィ装置

Country Status (7)

Country Link
US (1) US9052605B2 (ja)
JP (1) JP5775530B2 (ja)
KR (1) KR101795610B1 (ja)
CN (1) CN102695988B (ja)
NL (1) NL2005724A (ja)
TW (1) TWI616724B (ja)
WO (1) WO2011076500A1 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2404218B1 (en) * 2009-03-04 2019-04-03 ASML Netherlands BV Illumination system, lithographic apparatus and method of forming an illumination mode
DE102012207377A1 (de) 2012-05-03 2013-11-07 Carl Zeiss Smt Gmbh Beleuchtungsoptik sowie optisches System für die EUV-Projektionslithographie
DE102012209412A1 (de) * 2012-06-04 2013-12-05 Carl Zeiss Smt Gmbh Optisches Verfahren und optische Messvorrichtung zum Messen von Winkellagen von Facetten zumindest eines Facettenspiegels für EUV-Anwendungen
DE102012212664A1 (de) 2012-07-19 2014-01-23 Carl Zeiss Smt Gmbh Verfahren zum Einstellen eines Beleuchtungssettings
EP2754524B1 (de) 2013-01-15 2015-11-25 Corning Laser Technologies GmbH Verfahren und Vorrichtung zum laserbasierten Bearbeiten von flächigen Substraten, d.h. Wafer oder Glaselement, unter Verwendung einer Laserstrahlbrennlinie
CN103048894B (zh) * 2013-01-29 2014-10-15 中国科学院光电研究院 一种光刻机投影物镜波像差在线测量装置和方法
EP2781296B1 (de) 2013-03-21 2020-10-21 Corning Laser Technologies GmbH Vorrichtung und verfahren zum ausschneiden von konturen aus flächigen substraten mittels laser
US11556039B2 (en) 2013-12-17 2023-01-17 Corning Incorporated Electrochromic coated glass articles and methods for laser processing the same
US10293436B2 (en) 2013-12-17 2019-05-21 Corning Incorporated Method for rapid laser drilling of holes in glass and products made therefrom
WO2015161948A1 (en) * 2014-04-25 2015-10-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP3166895B1 (en) 2014-07-08 2021-11-24 Corning Incorporated Methods and apparatuses for laser processing materials
JP2017530867A (ja) * 2014-07-14 2017-10-19 コーニング インコーポレイテッド 長さおよび直径の調節可能なレーザビーム焦線を用いて透明材料を加工するためのシステムおよび方法
WO2016154284A1 (en) 2015-03-24 2016-09-29 Corning Incorporated Laser cutting and processing of display glass compositions
DE102015209175A1 (de) * 2015-05-20 2016-11-24 Carl Zeiss Smt Gmbh Pupillenfacettenspiegel
DE102015209176A1 (de) * 2015-05-20 2016-11-24 Carl Zeiss Smt Gmbh Beleuchtungsoptik für die EUV-Projektionslithographie
DE102015221209A1 (de) * 2015-10-29 2017-05-04 Carl Zeiss Smt Gmbh Optische Baugruppe mit einem Schutzelement und optische Anordnung damit
DE102016205624B4 (de) * 2016-04-05 2017-12-28 Carl Zeiss Smt Gmbh Beleuchtungsoptik für die EUV-Projektionslithografie, Beleuchtungssystem, Projektionsbelichtungsanlage und Verfahren zur Projektionsbelichtung
US9791786B1 (en) * 2016-04-08 2017-10-17 Applied Materials, Inc. Method to reduce line waviness
DE102016213785A1 (de) 2016-07-27 2018-02-01 Carl Zeiss Smt Gmbh Verfahren zur Einstellung eines Beleuchtungssettings
CN109803786B (zh) 2016-09-30 2021-05-07 康宁股份有限公司 使用非轴对称束斑对透明工件进行激光加工的设备和方法
KR102428350B1 (ko) 2016-10-24 2022-08-02 코닝 인코포레이티드 시트형 유리 기판의 레이저 기반 기계 가공을 위한 기판 프로세싱 스테이션
JP2020534674A (ja) * 2017-09-20 2020-11-26 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のための制御システム
US10783290B2 (en) 2017-09-28 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. IC manufacturing recipe similarity evaluation methods and systems
CN112445076B (zh) * 2019-08-30 2022-04-22 上海微电子装备(集团)股份有限公司 光刻机、曝光系统及实现离轴照明的方法与离轴照明装置
CN111083825B (zh) * 2019-12-18 2021-12-21 江苏紫米电子技术有限公司 一种灯效控制方法、装置、电子设备和存储介质

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7015491B2 (en) 2001-06-01 2006-03-21 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby, control system
KR100576746B1 (ko) * 2001-06-01 2006-05-03 에이에스엠엘 네델란즈 비.브이. 리소그래피장치, 디바이스제조방법, 그 디바이스,제어시스템, 컴퓨터프로그램, 및 컴퓨터프로그램물
DE10219514A1 (de) 2002-04-30 2003-11-13 Zeiss Carl Smt Ag Beleuchtungssystem, insbesondere für die EUV-Lithographie
US7057709B2 (en) 2003-12-04 2006-06-06 International Business Machines Corporation Printing a mask with maximum possible process window through adjustment of the source distribution
US20050231651A1 (en) * 2004-04-14 2005-10-20 Myers Timothy F Scanning display system
US7123348B2 (en) * 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US7283209B2 (en) * 2004-07-09 2007-10-16 Carl Zeiss Smt Ag Illumination system for microlithography
CN100452295C (zh) * 2004-09-22 2009-01-14 尼康股份有限公司 照明装置、曝光装置及微元件的制造方法
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
EP1894063A1 (en) * 2005-06-21 2008-03-05 Carl Zeiss SMT AG A double-facetted illumination system with attenuator elements on the pupil facet mirror
US7934172B2 (en) * 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US20080158529A1 (en) 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2008095695A2 (de) * 2007-02-06 2008-08-14 Carl Zeiss Smt Ag Verfahren und vorrichtung zur überwachung von mehrfachspiegelanordnungen in einem beleuchtungssystem einer mikrolithographischen projektionsbelichtungsanlage
US9250536B2 (en) * 2007-03-30 2016-02-02 Asml Netherlands B.V. Lithographic apparatus and method
JP5326259B2 (ja) * 2007-11-08 2013-10-30 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法

Also Published As

Publication number Publication date
JP2013516055A (ja) 2013-05-09
NL2005724A (en) 2011-06-27
CN102695988B (zh) 2015-09-02
TW201128322A (en) 2011-08-16
US9052605B2 (en) 2015-06-09
CN102695988A (zh) 2012-09-26
KR101795610B1 (ko) 2017-11-08
KR20130007541A (ko) 2013-01-18
US20120262689A1 (en) 2012-10-18
WO2011076500A1 (en) 2011-06-30
TWI616724B (zh) 2018-03-01

Similar Documents

Publication Publication Date Title
JP5775530B2 (ja) 照明システム、リソグラフィ方法、コンピュータプログラム、デバイス製造方法、およびリソグラフィ装置
KR101703830B1 (ko) 리소그래피 장치 및 디바이스 제조방법
US20120262690A1 (en) Illumination system, lithographic apparatus and illumination method
JP5650670B2 (ja) 照明システム、リソグラフィ装置および照明モードを形成する方法
KR20120052386A (ko) 조명 시스템, 리소그래피 장치, 및 조명 모드를 조정하는 방법
JP2015532980A (ja) リソグラフィ方法及び装置
JP5885418B2 (ja) リソグラフィ装置、収差ディテクタ、およびデバイス製造方法
JP4639134B2 (ja) リソグラフィ・システムおよびリソグラフィ・システム内の光路の透過特性を調整するための方法
US11448971B2 (en) Optical maskless
JP2009267403A (ja) 照明システムおよびリソグラフィ方法
US20240160110A1 (en) Systems and methods for distributing light delivery
JP2022524206A (ja) リソグラフィ装置、計測装置、光学システムおよび方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131118

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140821

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141110

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150427

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150508

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150623

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150703

R150 Certificate of patent or registration of utility model

Ref document number: 5775530

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250