KR20130007541A - 리소그래피 장치 및 디바이스 제조 방법 - Google Patents

리소그래피 장치 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR20130007541A
KR20130007541A KR1020127015617A KR20127015617A KR20130007541A KR 20130007541 A KR20130007541 A KR 20130007541A KR 1020127015617 A KR1020127015617 A KR 1020127015617A KR 20127015617 A KR20127015617 A KR 20127015617A KR 20130007541 A KR20130007541 A KR 20130007541A
Authority
KR
South Korea
Prior art keywords
movable reflective
illumination
radiation
movable
reflective elements
Prior art date
Application number
KR1020127015617A
Other languages
English (en)
Other versions
KR101795610B1 (ko
Inventor
인헨 쉐나위 코엔 반
슈트 얀 반
브리스 고세 드
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20130007541A publication Critical patent/KR20130007541A/ko
Application granted granted Critical
Publication of KR101795610B1 publication Critical patent/KR101795610B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70075Homogenization of illumination intensity in the mask plane by using an integrator, e.g. fly's eye lens, facet mirror or glass rod, by using a diffusing optical element or by beam deflection

Abstract

리소그래피 장치에서, 조명 모드는 방사선을 퓨필 패싯 미러(pupil facet mirror) 상의 선택 가능한 위치로 지향시키기 위해 복수의 이동 가능한 패싯을 포함하는 필드 미러를 이용하여 설정된다. 기본 조명 모드는 사전 결정된 조명 모드의 세트로부터 선택되며, 이동 가능한 패싯은 그 모드를 시행하도록 설정된다. 이미징 파라미터를 조정하기 위해, 이동 가능한 패싯의 일부가 상이한 위치로 설정된다. 어느 패싯을 상이한 위치로 설정할지에 대한 결정은 각각의 패싯을 상이한 위치로 설정하는 효과의 합계에 기초하여 이루어진다.

Description

리소그래피 장치 및 디바이스 제조 방법{LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD}
관련 출원의 상호 참조
본 출원은 2009년 12월 23일자로 출원된 미국 가특허 출원 번호 61/289,736의 이점을 주장하며, 상기 특허 출원은 그 전체 내용이 본 명세서에 원용되어 있다.
발명의 분야
본 발명은 리소그래피 장치 및 디바이스 제조 방법에 관한 것이다.
리소그래피 장치는 기판 상에 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)로도 지칭되는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟 영역(예컨대, 하나의 다이(die)의 일부분, 하나의 다이, 또는 여러 개의 다이를 포함) 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 위에의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 영역들의 네트워크를 포함할 것이다.
리소그래피는 IC 및 기타 디바이스 및/또는 구조물의 제조에서 핵심적인 단계 중의 하나로서 널리 인식되어 있다. 그러나, 리소그래피를 이용하여 구성되는 특징부의 치수가 점점 더 작아짐에 따라, 리소그래피는 소형 IC 또는 기타 디바이스 및/또는 구조물이 제조될 수 있도록 하기 위한 더욱 중요한 요소가 되고 있다.
패턴 프린팅 한계의 이론적인 추정치는 이하의 수식 (1)에 나타낸 바와 같이 분해능에 대한 레일리 기준(Rayleigh criterion)에 의해 제공될 수 있다:
(수식 1)
Figure pct00001
여기서, λ는 이용되는 방사선의 파장이고, NA는 패턴을 프린트하기 위해 사용된 투영 시스템의 개구도이며, k1은 레일리 상수로도 지칭되는 프로세스 종속 조정 계수이며, CD는 프린트된 특징부의 특징부 크기(또는 크리티컬 디멘전)이다. 수식 (1)로부터, 특징부의 최소 프린트 가능한 크기의 감소는 노광 파장 λ를 짧게 하거나, 개구도 NA를 증가시키거나, 또는 k1의 값을 감소시킴으로써 달성될 수 있다.
노광 파장을 짧게 하고 그에 따라 최소 프린트 가능 크기를 감소시키기 위해서는, 극자외(EUV) 방사선 소스를 이용하는 것이 제안되어 있다. EUV 방사선은 10~20 nm 범위, 예컨대 13~14 nm 범위 내의 파장을 갖는 전자기 방사선이다. 또한, 10 nm 미만의 파장, 예컨대 6.7 nm 또는 6.8 nm와 같은 5~10 nm 범위 내의 파장을 갖는 EUV가 이용될 수 있는 것으로 제안되어 있다. 이러한 방사선은 극자외 방사선 또는 소프트 x-선 방사선으로 지칭된다. 가능한 소스로는 예컨대 레이저 발생 플라즈마 소스, 방전 플라즈마 소스, 또는 전자 축적 링(electron storage ring)에 의해 제공된 싱크로트론(synchrotron) 방사선을 기반으로 하는 소스 등이 있다.
EUV 방사선은 플라즈마를 이용하여 발생될 수 있다. EUV 방사선을 발생하기 위한 방사선 시스템은, 플라즈마를 제공하기 위한 연료를 여기시키기 위한 레이저와, 플라즈마를 가두어두기 위한 소스 콜렉터 모듈을 포함할 수 있다. 플라즈마는 예컨대 적합한 재료(예컨대, 주석)의 입자와 같은 연료에, 또는 Xe 가스 또는 Li 증기와 같은 적합한 가스 또는 증기의 스트림에, 레이저빔을 지향시킴으로써 생성될 수 있다. 그 결과의 플라즈마는 예컨대 EUV 방사선과 같은 출력 방사선을 방출하며, 이 방사선은 방사선 콜렉터를 이용하여 모아진다. 방사선 콜렉터는 방사선을 수신하여 빔으로 집속하는 미러형 수직 입사 방사선 콜렉터(mirrored normal incidence radiation collector)이어도 된다. 소스 콜렉터 모듈은 플라즈마를 지원하기 위해 진공 분위기를 제공하도록 배치된 인클로징 구조물 또는 챔버를 포함할 수도 있다. 이러한 방사선 시스템은 통상적으로 레이저 발생 플라즈마(LPP : laser produced plasma) 소스로 지칭된다.
리소그래피의 분야에서는, 패터닝 장치를 조명하는 각도를 적합하게 선택함으로써, 즉 패터닝 장치를 조명하는 방사선의 각도 분포를 적절하게 선택함으로써, 기판 상에 투영된 패터닝 장치의 이미지가 향상될 수 있다. 쾰러(Koehler) 조명 시스템을 갖는 리소그래피 장치에서, 패터닝 장치를 조명하는 방사선의 각도 분포는 조명 시스템의 퓨필 평면(pupil plane)에서의 조명 빔의 공간적인 세기 분포에 의해 결정된다. 이것은 퓨필 평면에서의 조명 빔이 패터닝 장치 상에 입사하는 조명 빔을 발생하기 위한 2차적인 또는 가상의 방사선 소스로서 동작하기 때문이다. 조명 시스템 내의 퓨필 평면에서의 조명 빔의 공간적인 세기 분포의 형상은 흔히 조명 모드 또는 프로파일로 지칭된다.
퓨필 평면에서의 어떠한 공간적인 조명 분포를 갖는 조명 빔은 패터닝 장치의 이미지가 기판 상에 투영될 때의 공정 관용도(processing latitude)를 향상시킨다. 이론적으로, 이미징될 소정의 패턴에 대해 최적의 조명 모드가 계산될 수 있다. 그러나, 이것은 그 계산이 어렵고 또한 어떠한 상황에서는 퓨필 평면에서의 요구된 세기 분포를 달성하는 것이 가능하지 않거나 경제적이지 못할 수도 있기 때문에 좀처럼 행해지지 않는다. 따라서, 다수의 경우에, 예컨대 다이폴(dipole), 애뉼러(annular), 또는 쿼드러폴(quadrupole) 오프축 조명 모드와 같은 사전 결정된 표준 조명 모드 세트 중의 하나가 이미징될 패턴의 특징에 따라 선택된다. 이들 모드 중의 일부의 파라미터, 예컨대 폴(pole)의 광학축에 대한 크기 및 거리, 또는 애뉼러 조명 모드의 내측 및 외측 반경(σinner 및 σouter)이 조정될 수 있다. 선택된 모드는 분해능 및/또는 투영 시스템 광학 수차에 대한 감응도, 노출 관용도 및 초점 심도와 같은 투영 시스템의 기타 파라미터를 향상시킬 수 있다.
조명 모드의 파라미터는 CD 대 피치와, NILS(Normalized Image Log Slope, 컨트래스트의 측정치) 대 피치와 같은 이미징 파라미터를 조정하기 위해 이용될 수 있다. 이들 파라미터는 또한 광근접보정(OPC : Optical Proximity Correction)(비이미징 특징 및/또는 마스크 패턴에서의 특징부 치수의 조성)에 의해 및 예컨대 기판을 기울어지게 함에 의한 소량의 디포커스의 도입에 의해 영향을 받을 수 있다. 조명 모드의 파라미터, OPC, 및 디포커스라는 3가지의 가능한 조정 모두의 조합이 이용되어 이미징을 가능한 한 최적화할 수 있다.
투영 빔으로서 EUV를 이용하는 리소그래피 장치에서는, 조명 빔을 성형하기 위해 줌-액시콘(zoom-axicon) 및 회절 광학 요소와 같은 투과성 광학 요소가 이용될 수 없으며, 그 이유는 EUV에 대해 투과성을 나타내는 적합한 재료가 없기 때문이다. EUV 방사선을 위한 공지의 조명 시스템은 소스로부터 방사선을 모아서 패터닝 장치의 퓨필 평면에 연관되어 있는 퓨필 미러에 지향시키는 필드 미러(field mirror)를 포함한다. 요구된 조명 모드를 형성하기 위해 퓨필 미러의 대응하는 패싯(facet) 상으로 방사선을 지향시키는 개별 이동 가능한 패싯의 배열로 필드 미러를 형성하는 것이 이미 제안되어 있으며, 이에 대해서는 그 전체 내용이 본 명세서에 원용되어 있는 예컨대 2009년 3월 4일자로 출원된 미국 가특허 출원 번호 61/157,498 및 2009년 8월 25일자로 출원된 미국 가특허 출원 번호 61/236,789를 참조하기 바란다. 한 가지 구성에서, 각각의 이동 가능한 필드 패싯은 방사선을 2개의 대응하는 퓨필 패싯 중의 선택된 패싯 상으로 지향시키기 위해 2개의 위치 사이에서 스위칭될 수 있다. 다른 구성에서, 각각의 이동 가능한 필드 패싯은, 2개의 대응하는 퓨필 패싯 중의 선택된 패싯 상으로, 또는 기판에 도달하지 않도록 하는 방향으로, 방사선을 지향시키기 위해 3개의 위치 사이에서 스위칭될 수 있다.
이동가능한 필드 패싯을 갖는 필드 패싯 미러를 이용하는 조명 시스템에서, 상이한 위치에서 광을 지향시키는 퓨필 패싯의 지점(채널 할당으로서 알려진)은 달성될 수 있는 조명 모드를 결정한다. 각각이 제한된 개수의 위치를 취할 수 있는 예컨대 300개 이상의 다수의 이동 가능한 패싯으로도, 단지 제한된 개수의 조명 모드만이 달성될 수 있으며, 이러한 모드의 파라미터는 미세하게 조정될 수 없다. 조명 균일성 조건 때문에, 필드 패싯은 함께 그룹화되며, 다이폴, 쿼드러폴 및 애뉼러와 같은 다수의 표준 조명 모드를 고정된 파라미터로 작용하도록 하기 위해 이들 그룹에서 스위칭된다. 또한, 곡선형 슬릿(curved slit)을 갖는 EUV 리소그래피 장치에서는 기판을 경사지게 함으로써 이미징을 제어하기 위해 디포커스를 도입하는 것이 가능하지 않다.
조명 모드에 대한 작은 조정을 이룰 수 있는 이동 가능한 패싯을 포함하는 필드 패싯 미러를 갖는 리소그래피 장치를 제공하는 것이 요망된다.
본 발명의 특징에 따라, 패터닝 장치로부터의 패턴을 기판 상으로 투영하도록 배치되며, 방사선 빔을 조절하고 이 방사선 빔을 상기 패터닝 장치 상으로 지향시키도록 구성된, 리소그래피 장치용 조명 시스템에 있어서, 제1 반사성 부품 및 제2 반사성 부품; 및 제어 시스템을 포함하며, 상기 제1 반사성 부품이 방사선 빔의 방사선을 상기 제2 반사성 부품 상으로 지향시키도록 배치되고, 상기 제1 반사성 부품이 복수의 이동 가능한 반사성 요소를 포함하며, 각각의 상기 이동 가능한 반사성 요소가 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있고, 상기 제2 반사성 부품이 상기 패터닝 장치의 퓨필 평면(pupil plane)에 연관되며, 상기 제어 시스템이 사전 결정된 조명 모드의 세트로부터 선택된 요구된 조명 모드를 시행하기 위해 복수의 상기 이동 가능한 반사성 요소를 각각의 요구된 위치로 설정하도록 배치되며, 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치(corrcetive position)로 설정하도록 배치되는 것을 특징으로 하는 조명 시스템이 제공된다.
본 발명의 특징에 따라, 디바이스 제조를 위한 리소그래피 방법에 있어서, 패터닝 장치의 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있는 복수의 이동 가능한 반사성 요소를 포함하는 제1 반사성 부품 상으로 방사선 빔을 지향시켜서, 이 방사선이 상기 제1 반사성 부품에 의해 반사되어 제2 반사성 부품 상에 입사된 후에 상기 패터닝 장치 상에 입사되도록 하는 단계; 상기 패터닝 장치를 이용하여 방사선 빔을 패터닝하는 단계; 패터닝된 방사선 빔을 기판 상으로 투영하는 단계; 사전 결정된 조명 모드의 세트로부터 선택된 요구된 조명 모드를 정하기 위해 상기 이동 가능한 반사성 요소를 요구된 위치로 설정하는 단계; 및 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치로 설정하는 단계를 포함하는 것을 특징으로 하는 디바이스 제조를 위한 리소그래피 방법이 제공된다.
본 발명의 특징에 따라, 리소그래피 장치를 제어하기 위한 명령어를 저장하는 컴퓨터 판독 가능 메모리를 포함하는 컴퓨터 프로그램 제품으로서, 상기 리소그래피 장치는 패터닝 장치로부터의 패턴을 기판 상으로 투영하도록 배치되고, 방사선 빔을 조절하고 방사선 빔을 패터닝 장치 상으로 지향하도록 구성된 조명 시스템을 포함하며, 상기 조명 시스템이, 제1 반사성 부품 및 제2 반사성 부품과, 제어 시스템을 포함하며, 상기 제1 반사성 부품이 방사선 빔의 방사선을 상기 제2 반사성 부품 상으로 지향시키도록 배치되고, 복수의 이동 가능한 반사성 요소를 포함하며, 각각의 상기 이동 가능한 반사성 요소가 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있고, 상기 제2 반사성 부품이 상기 패터닝 장치의 퓨필 평면(pupil plane)에 연관되며, 또한 상기 제어 시스템이 복수의 상기 이동 가능한 반사성 요소를 각각의 요구된 위치로 설정하도록 배치되며, 상기 명령어는, 사전 결정된 조명 모드의 세트로부터 선택된 요구된 조명 모드를 형성하기 위해 상기 이동 가능한 반사성 요소를 요구된 위치로 설정하는 단계와, 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치로 설정하는 단계를 포함하는 방법을 실행하도록 작용하는 것을 특징으로 하는 컴퓨터 프로그램 제품이 제공된다.
본 발명의 특징에 따라, 레시피(recipe)를 따라 디바이스를 제조하기 위해 복수의 리소그래피 장치를 매칭하는 방법으로서, 각각의 상기 리소그래피 장치는 패터닝 장치로부터의 패턴을 기판 상으로 투영하도록 배치되고, 방사선 빔을 조절하고 방사선 빔을 패터닝 장치 상으로 지향하도록 구성된 조명 시스템을 포함하며, 상기 조명 시스템이, 제1 반사성 부품 및 제2 반사성 부품과, 제어 시스템을 포함하며, 상기 제1 반사성 부품이 방사선 빔의 방사선을 상기 제2 반사성 부품 상으로 지향시키도록 배치되고, 복수의 이동 가능한 반사성 요소를 포함하며, 각각의 상기 이동 가능한 반사성 요소가 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있으며, 상기 제2 반사성 부품이 상기 패터닝 장치의 퓨필 평면(pupil plane)에 연관되며, 또한 상기 제어 시스템이 복수의 상기 이동 가능한 반사성 요소를 각각의 요구된 위치로 설정하도록 배치되며, 상기 방법은, 사전 결정된 조명 모드의 세트로부터 기본 조명 모드를 선택함으로써 또한 이미징 파라미터의 조정을 시행하기 위해 보정 위치로 설정할 상기 리소그래피 장치의 제1 리소그래피 장치의 이동 가능한 반사성 요소 중의 하나 이상을 선택함으로써, 상기 제1 리소그래피 장치에 대한 조명 설정을 최적화하는 단계; 및 기본 조명 모드에 기여하도록 작용하는 위치와는 상이한 보정 위치로 설정할 상기 리소그래피 장치의 제2 리소그래피 장치의 이동 가능한 반사성 요소 중의 하나 이상을 선택함으로써, 상기 제2 리소그래피 장치의 이미징 성능이 상기 제1 리소그래피 장치와 매칭하도록, 상기 제2 리소그래피 장치에 대한 조명 설정을 결정하는 단계를 포함하는 것을 특징으로 하는 복수의 리소그래피 장치의 매칭 방법이 제공된다.
본 발명의 특징에 따라, 방사선 빔을 조절하도록 구성된 조명 시스템; 상기 조명 시스템으로부터 조절된 방사선 빔을 수광하여 이 조절된 방사선 빔을 패터닝함으로써 패터닝된 방사선 빔을 형성하도록 구성된 패터닝 장치를 지지하도록 구성된 지지체; 및 패터닝된 방사선 빔을 기판 상으로 투영하도록 구성된 투영 시스템을 포함하며, 상기 조명 시스템은, 제1 반사성 부품 및 제2 반사성 부품과, 제어 시스템을 포함하며, 상기 제1 반사성 부품이 방사선 빔의 방사선을 상기 제2 반사성 부품 상으로 지향시키도록 배치되고, 상기 제1 반사성 부품이 복수의 이동 가능한 반사성 요소를 포함하며, 각각의 상기 이동 가능한 반사성 요소가 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있고, 상기 제2 반사성 부품이 상기 패터닝 장치의 퓨필 평면에 연관되며, 상기 제어 시스템이 사전 결정된 조명 모드의 세트로부터 선택된 요구된 조명 모드를 시행하기 위해 복수의 상기 이동 가능한 반사성 요소를 각각의 요구된 위치로 설정하도록 배치되며, 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치로 설정하도록 배치되는 것을 특징으로 하는 리소그래피 장치가 제공된다.
도 1은 본 발명의 실시예에 따른 리소그래피 장치를 도시하고 있다.
도 2는 도 1의 리소그래피 장치를 보다 상세하게 나타낸 도면이다.
도 3은 도 1 및 도 2의 리소그래피 장치의 소스 콜렉터 모듈의 상세도이다.
도 4 및 도 5는 도 1 및 도 2의 리소그래피 장치의 조명 시스템을 도시하고 있으며, 또한 이동 가능한 필드 패싯 미러의 기능을 예시하고 있다.
도 6 내지 도 9는 본 발명의 실시예에 이용된 상이한 조명 모드의 예를 도시하고 있다.
도 10은 도 7 내지 도 9의 상이한 조명 모드를 이용한 피치에 대한 CD의 변화를 나타내는 그래프이다.
도 11은 도 7 내지 도 9의 상이한 조명 모드를 이용한 피치에 대한 NILS의 변화를 나타내는 그래프이다.
도 12 및 도 13은 본 발명의 다른 실시예에서의 상이한 조명 모드의 예를 도시하고 있다.
도 14는 도 12 및 도 13의 조명 모드로 달성되는 피치에 대한 수평 및 수직 라인:공간 비율을 나타내는 그래프이다.
도 15 및 도 16은 본 발명의 다른 실시예에 이용된 상이한 조명 모드의 예를 도시하고 있다.
도 17은 도 15의 조명 모드를 이용하는 것에 비하여 도 16의 조명 모드를 이용하한 피치에 대한 CD의 변화를 나타내는 그래프이다.
도 18은 본 발명의 실시예에 따른 이동 가능한 패싯을 포함하는 필드 미러에 대한 제어 시스템을 도시하고 있다.
도 19는 본 발명의 방법에 따라 2개의 리소그래피 장치를 매칭하는 방법의 흐름도이다.
이하에서는 대응하는 도면 부호가 대응하는 부분을 나타내고 있는 첨부 개략 도면을 참조하여 본 발명의 실시예를 예시를 목적으로 설명할 것이다.
도 1은 본 발명의 일실시예 따른 소스 콜렉터 모듈(SO)을 포함하는 리소그래피 장치(100)를 개략적으로 도시하고 있다. 리소그래피 장치는, 방사선 빔(B, 예컨대 EUV 방사선)을 조절하도록 구성된 조명 시스템(조명기)(IL), 패터닝 장치(예컨대, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 또한 패터닝 장치(MA)를 정확히 위치시키도록 구성된 제1 위치 설정기(PM)에 연결된 지지 구조체(예컨대, 마스크 테이블)(MT), 기판(예컨대, 레지스트가 코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제2 위치 설정기(PW)에 연결된 기판 테이블(예컨대, 웨이퍼 테이블)(WT), 및 패터닝 장치(MA)에 의해 방사선 빔(B)에 부여한 패턴을 기판(W)의 타겟 영역(C)(예컨대, 하나 이상의 다이를 포함하는) 상에 투영하도록 구성된 투영 시스템(예컨대, 반사성 투영 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위한 굴절식, 반사식, 자기식, 전자기식, 정전식 또는 다른 타입의 광학 요소 또는 이들의 임의의 조합과 같은 다양한 타입의 광학 요소들을 포함할 수 있다.
지지 구조체(MT)는 패터닝 장치의 배향, 리소그래피 장치의 디자인, 및 예컨대 패터닝 장치가 진공 분위기에 유지되는지의 여부와 같은 기타 조건들에 좌우되는 방식으로 패터닝 장치(MA)를 유지한다. 지지 구조체는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조체는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 지지 구조체는 패터닝 장치가 예컨대 투영 시스템에 대하여 요구된 위치에 있도록 할 수 있다.
본 명세서에서 사용되는 "패터닝 장치"라는 용어는, 기판의 타겟 영역에 패턴을 생성하기 위하여 방사선 빔의 단면에 패턴을 부여하기 위해 사용될 수 있는 모든 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은 집적회로와 같은 타겟 영역에 생성되는 디바이스에서의 특정 기능층에 대응할 것이다.
패터닝 장치는 투과형이어도 되고 또는 반사형이어도 된다. 패터닝 장치의 예로는 마스크, 프로그래머블 미러 어레이, 및 프로그래머블 LCD 패널을 포함한다. 마스크는 리소그래피 분야에서 널리 알려져 있으며, 바이너리형, 교번 위상 반전형 및 감쇠 위상 반전형과 같은 마스크 타입뿐만 아니라 다양한 하이브리드 마스크 타입들을 포함한다. 프로그래머블 미러 어레이의 예는 소형 미러들의 매트릭스 배열을 채용하며, 그 각각의 미러들은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 경사지는 것이 가능하다. 경사진 미러들은 미러 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
투영 시스템은 조명 시스템과 마찬가지로 이용되고 있는 노광 방사선에 대하여 적합하거나 또는 진공의 사용과 같은 다른 요인들에 대하여 적합한, 굴절식, 반사식, 자기식, 전자기식, 정전식 또는 다른 타입의 광학 요소, 또는 이들의 임의의 조합을 포함하는 다양한 타입의 광학 요소들을 포함할 수 있다. 다른 가스가 너무 많은 방사선을 흡수할 수도 있기 때문에, EUV 방사선에 대해서는 진공을 이용하는 것이 바람직할 것이다. 따라서, 진공 벽 및 진공 펌프를 이용하여 전체 빔 경로에 진공 분위기가 제공될 수 있다.
여기서 나타낸 바와 같이, 리소그래피 장치는 반사형 타입의 것(예컨대, 반사형 마스크를 채용함)이다.
리소그래피 장치는 2개(듀얼 스테이지) 또는 그보다 많은 기판 테이블(및/또는 2개 이상의 마스크 테이블)을 갖는 타입의 것일 수도 있다. 이러한 "복수 스테이지" 기계에서는, 추가의 테이블을 병행하여 사용하거나, 또는 하나 이상의 테이블 상에서 예비 공정을 수행하면서 다른 하나 이상의 테이블을 노광용으로 사용하는 것이 가능하다.
도 1을 참조하면, 조명기(IL)는 소스 콜렉터 모듈(SO)로부터 극자외(EUV) 방사선 빔을 수광한다. EUV 방사선을 발생하는 방법은, EUV 대역의 하나 이상의 방출 라인으로 재료를 예컨대 크세논, 리튬 또는 주석과 같은 적어도 하나의 원소를 갖는 플라즈마 상태로 전환시키는 단계를 포함하지만, 반드시 이러한 것으로 한정되지는 않는다. 레이저 발생 플라즈마("LPP")로도 지칭되는 한 가지 이러한 방법에서, 요구된 플라즈마는 요구된 라인 방출 원소를 갖는 재료의 드롭플릿, 스트림 또는 클러스터와 같은 연료(fuel)를 레이저 빔으로 조사(irradiation)함으로써 발생될 수 있다. 소스 콜렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하기 위한 도 1에는 도시되지 않은 레이저를 포함하는 EUV 방사선 시스템의 일부분이어도 된다. 그 결과의 플라즈마는 예컨대 EUV 방사선과 같은 출력 방사선을 방출하며, 이 방사선은 소스 콜렉터 모듈에 배치된 방사선 콜렉터를 이용하여 모아진다. 레이저와 소스 콜렉터 모듈은 예컨대 연료 여기를 위한 레이저 빔을 제공하기 위해 CO2 레이저가 이용되는 때에는 별도의 구성요소일 수도 있다.
이러한 경우에, 레이저는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않으며, 방사선 빔은 예컨대 적합한 지향 미러 및/또는 빔 확장기(beam expander)를 포함하는 빔 전달 시스템을 이용하여 레이저로부터 소스 콜렉터 모듈(SO)로 통과된다. 다른 경우에, 예컨대 소스가 DPP 소스로도 지칭되는 방전 발생 플라즈마 EUV 발생기인 때에는, 소스는 소스 콜렉터 모듈의 일체형 부분이어도 된다.
조명기(IL)는 방사선 빔의 각도 세기 분포(angular intensity distribution)를 조정하는 조정기를 포함할 수 있다. 일반적으로, 조명기의 퓨필 평면(pupil plane) 내의 세기 분포의 적어도 외측 반경 및/또는 내측 반경 범위(통상적으로 각각 외측-σ와 내측-σ로 지칭됨)가 조정될 수 있다. 또한, 조명기(IL)는 패싯 필드(faceted field) 및 퓨필 미러 디바이스와 같은 다양한 다른 부품을 포함할 수도 있다. 조명기는 방사선 빔의 단면에서 요구된 균일성 및 세기 분포를 갖도록 방사선 빔을 조절하는데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예컨대, 마스크 테이블)(MT) 상에 유지되는 패터닝 장치(예컨대, 마스크)(MA) 상에 입사되며, 패터닝 장치에 의해 패터닝된다. 방사선 빔(B)은 패터닝 장치(예컨대, 마스크)(MA)로부터 반사된 후 투영 시스템(PS)을 통과하며, 투영 시스템(PS)이 이 방사선 빔을 기판(W)의 타겟 영역(C) 상에 집속시킨다. 제2 위치 설정기(PW) 및 위치 센서(PS2)(예컨대, 간섭계 디바이스, 선형 인코더, 또는 용량형 센서)를 이용하여, 예컨대 상이한 타겟 영역(C)을 방사선 빔(B)의 경로 내에 위치시키도록 기판 테이블(WT)을 정확하게 이동시킬 수 있다. 마찬가지로, 제1 위치 설정기(PM) 및 또 다른 위치 센서(PS1)를 이용하여, 방사선 빔(B)의 경로에 대하여 패터닝 장치(예컨대, 마스크)(MA)를 정확하게 위치시키는 것이 가능하다. 패터닝 장치(예컨대, 마스크)(MA) 및 기판(W)은 패터닝 장치 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 지지 구조체(예컨대, 마스크 테이블)(MT) 및 기판 테이블(WT)을 기본적으로 정지 상태로 유지한 채로, 방사선 빔(B)에 부여한 패턴 전체를 한 번에 타겟 영역(C) 상에 투영한다(즉, 단일 정지 노광). 그리고나서, 상이한 타겟 영역(C)이 노광될 수 있도록 기판 테이블(WT)을 X 방향 및/또는 Y 방향으로 이동시킨다.
2. 스캔 모드에서는, 지지 구조체(예컨대, 마스크 테이블)(MT) 및 기판 테이블(WT)을 동기적으로 스캐닝하면서, 방사선 빔(B)에 부여한 패턴을 타겟 영역(C) 상에 투영한다(즉, 단일 동적 노광). 지지 구조체(예컨대, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 상 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서는, 프로그래머블 패터닝 장치를 유지한 채로 지지 구조체(예컨대, 마스크 테이블)(MT)를 기본적으로 정지 상태로 하고, 또한 기판 테이블(WT)을 이동시키거나 스캐닝하면서, 방사선 빔에 부여한 패턴을 타겟 영역(C) 상에 투영한다. 이 모드에서는, 일반적으로 펄스 방사 소스가 채용되며, 프로그래머블 패터닝 장치는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 동안의 연속적인 방사선 펄스의 사이에서 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급한 바와 같은 타입의 프로그래머블 미러 어레이와 같은 프로그래머블 패터닝 장치를 이용하는 마스크 없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
도 2는 리소그래피 장치(100)를 더욱 상세하게 도시하고 있으며, 소스 콜렉터 모듈(SO), 조명 시스템(IL) 및 투영 시스템(PS)을 포함한다. 소스 콜렉터 모듈(SO)은 소스 콜렉터 모듈(SO)의 인클로징 구조물(220) 내에 진공 분위기가 유지될 수 있도록 구성되어 배치된다. 플라즈마(210)를 방출하는 EUV 방사선은 방전 발생 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 매우 고온의 방전 플라즈마(210)가 생성되어 EUV 대역의 전자기 스펙트럼으로 방사선을 방출하는 예컨대 Xe 가스, Li 증기, 또는 Sn 증기와 같은 가스 또는 증기에 의해 발생될 수 있다. 매우 고온의 플라즈마(210)는 예컨대 적어도 부분적으로 이온화된 플라즈마를 발생하는 전기 방전에 의해 생성된다. 방사선의 효과적인 생성을 위해서는 예컨대 Xe, Li, Sn 증기 또는 임의의 다른 적합한 가스 또는 증기의 10 Pa의 부분압(partial pressure)이 요구될 수 있다. 일실시예에서는, EUV 방사선을 발생하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
고온 플라즈마(210)에 의해 방출된 방사선은 소스 챔버(211)로부터 소스 챔버(211)의 개구부에 위치되거나 개구부 뒤에 위치되는 옵션의 가스 장벽 또는 오염물 트랩(230)(일부 경우에는 오염물 장벽 또는 포일 트랩(foil trap)으로도 지칭됨)을 통해 콜렉터 챔버(212) 내로 통과된다. 오염물 트랩(230)은 채널 구조물을 포함할 수 있다. 오염물 트랩(230)은 또한 가스 장벽 또는 가스 장벽과 채널 구조물의 조합을 포함할 수 있다. 여기에서 추가로 언급된 오염물 트랩 또는 오염물 장벽(230)은 본 기술 분야에 알려진 바와 같이 적어도 채널 구조물을 포함한다.
콜렉터 챔버(211)는 소위 그레이징 입사 콜렉터(grazing incidence collector)일 수도 있는 방사선 콜렉터(CO)를 포함할 수 있다. 방사선 콜렉터(CO)는 상류측 방사선 콜렉터(251) 및 하류측 방사선 콜렉터(252)를 갖는다. 방사선 콜렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter)(240)에서 반사되어 가상 소스 포인트(virtual source point)(IF)에 집속될 수 있다. 가상 소스 포인트(IF)는 흔히 중간 포커스로서 지칭되며, 소스 콜렉터 모듈은 중간 포커스(IF)가 인클로징 구조물(220)의 개구부(221)에 또는 개구부(221) 부근에 위치되도록 배치된다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 조명 시스템은 패터닝 장치(MA)에서의 방사선 빔(21)의 요구된 각도 분포뿐만 아니라 패터닝 장치(MA)에서의 방사선 세기의 요구된 균일성을 제공하도록 배치된 패싯 필드 미러 디바이스(facetted field mirror device)(22) 및 패싯 퓨필 미러 디바이스(facetted pupil mirror device)(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지된 패터닝 장치(MA)에서의 방사선 빔(21)의 반사 시에, 패터닝된 빔(26)이 형성되며, 이 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사성 요소(28, 30)를 통해 웨이퍼 스테이지 또는 기판 테이블(WT)에 의해 유지된 기판(W) 상으로 이미징된다.
조명 시스템(IL) 및 투영 시스템(PS)에는 일반적으로 도시된 것보다 더 많은 요소가 존재할 수도 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라서는 옵션으로 제공될 수 있다. 또한, 도면에 도시된 것보다 더 많은 미러가 존재할 수도 있으며, 예컨대 도 2에 도시된 것보다 1 내지 6개의 추가의 반사 요소가 투영 시스템(PS)에 존재할 수도 있다.
콜렉터 광학장치(CO)는 도 2에 도시된 바와 같이 그레이징 입사 반사기(253, 254, 255)를 갖는 내포형 콜렉터(nested collector)로서 도시되어 있으며, 이것은 콜렉터(또는 콜렉터 미러)의 예에 불과하다. 그레이징 입사 반사기(253, 254, 255)는 광학축(O)의 둘레에 축방향 대칭으로 배치되며, 이 타입의 콜렉터 광학장치(CO)는 소위 DPP 소스로도 지칭되는 방전 발생 플라즈마 소스와 함께 이용된다.
이와 달리, 소스 콜렉터 모듈(SO)은 도 3에 도시된 바와 같이 LPP 방사선 시스템의 일부분일 수도 있다. 레이저 에너지를 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료 내에 제공하여, 수십 eV의 전자 온도를 갖는 고도 이온화 플라즈마(210)를 생성하도록 레이저(LA)가 배치된다. 이들 이온의 디엑시테이션(de-excitation) 및 재결합 동안 발생된 활발한 방사선(energetic radiation)이 플라즈마로부터 방출되고, 준수직 입사(near vertical incidence) 콜렉터 광학장치(CO)에 의해 모아지며, 인클로징 구조물(220)의 개구부(221) 상에 집속된다.
도 4 및 도 5는 조명 빔이 조절되고 제1 반사성 부품(22) 및 제2 반사성 부품(24)을 이용하여 마스크에 지향되는 방법을 나타내는 모식도이다. 조명기(IL)에는 다른 광학 부품이 포함될 수도 있지만, 이하의 설명에서는 개시를 명확하게 하기 위해 생략되어 있다는 것에 유의하기 바란다.
일실시예에서, 제1 반사성 부품(22)은 흔히 필드 패싯 미러(110)로 알려진 복수의 1차 반사성 요소를 포함한다. 제2 반사성 부품(24)은 흔히 퓨필 패싯 미러(120)로 알려진 복수의 2차 반사성 요소를 포함한다. 1차 반사성 요소(110)는 방사선을 2차 반사성 요소(120)에 지향(반사)하도록 구성된다. 제1 반사성 부품의 1차 반사성 요소는 방사선의 어떠한 손실을 감소시키거나 최소화하기 위해 그 사이에 실질적으로 갭이 없도록 서로 충분히 근접하는 상태로 나란하게 구성된다. 2차 반사성 부품의 2차 반사성 요소 또한 방사선의 어떠한 손실을 감소시키거나 최소화하도록 구성된다.
제2 반사성 부품(24)은 퓨필 평면에 연관되며, 그러므로 제2 반사성 부품은 가상의 방사선 소스로서 작용한다. 일실시예에서, 제2 반사성 부품은 퓨필 평면에 위치되며, 퓨필 평면과 일치한다. 일실시예에서, 제2 반사성 부품은 퓨필 평면으로부터 작은 거리에 배치될 수 있다. 2차 반사성 요소는 패터닝 장치(MA) 상의 조명 필드를 적절하게 채우도록 방사선을 지향시킨다. 일실시예에서, 조명기에는 패터닝 장치 상의 필드 매싯 미러에 결상하는 집광 미러(condensor mirror) 또는 미러의 시스템(도시하지 않음)이 배치될 수 있다.
마스크를 조명하는 방사선의 각도 분포는 제2 반사성 부품에서의 방사선 빔의 공간적 세기 분포에 의해 결정된다. 공간적 세기 분포는 2차 반사성 요소의 어느 것에 의해 조명되는지에 의해, 즉 2차 반사성 요소에서의 조명 모드에 의해 결정된다. 2차 반사성 요소의 조명은 각각의 1차 반사성 요소의 위치에 의해 결정된다.
조명 모드는 각각의 1차 반사성 요소(110)를 선택하여 이들을 요구된 바대로 제1 위치 또는 제2 위치 중의 하나로 이동시킴으로써 제어된다. 1차 반사성 요소(110A, 110B, 110C)가 이들의 제1 위치로 배향되는 때에는, 방사선의 서브빔이 제1의 관련 2차 반사성 요소(120A', 120B', 120C') 쪽으로 반사되며, 이에 대해서는 도 4를 참조하기 바란다. 1차 반사성 요소(110A, 110B, 110C)가 이들의 제2 위치로 배향되는 때에는, 방사선의 서브빔이 상이한 제2의 관련 2차 반사성 요소(120A", 120B", 120C") 쪽으로 반사되며, 이에 대해서는 도 5를 참조하기 바란다.
2차 반사성 요소 120A', 120B' 및 120C'는 근본적으로 제2 반사성 부품에서의 제1 관련 지점에 있다는 것을 이해할 것이다. 마찬가지로, 2차 반사성 요소 120A", 120B" 및 120C"는 근본적으로 제2 반사성 부품에서의 제2 관련 지점에 있다는 것을 이해할 것이다.
각각의 1차 반사성 요소의 제1 위치 및 제2 위치는 다른 1차 반사성 요소의 제1 위치 및 제2 위치에 대하여 상이하면서 고유하다. 마찬가지로, 각각의 1차 반사성 요소에 관련된 2개의 2차 반사성 요소는 다른 1차 반사성 요소에 관련된 2차 반사성 요소에 대하여 상이하면서 고유하다. 각각의 1차 반사성 요소를 적절하게 선택하고 이동시킴으로써, 방사선은 특정한 요구된 공간적 세기 분포를 특정의 조명 모드로 발생하기 위해 퓨필 평면에서의 필요한 2차 반사성 요소 쪽으로 지향될 수 있다. 각각의 1차 반사성 요소의 위치를 요구된 바대로 제어함으로써, 퓨필 평면에서의 방사선 빔의 공간적 세기 분포는 상이한 조명 모드를 갖는 다른 요구된 공간적 세기 분포로 스위칭, 즉 변경될 수 있다. 예컨대, 서비빔이 예컨대 애뉼러, 다이폴, 쿼드러폴 등의 오프축 성형 조명 모드를 갖는 공간적 세기 분포를 발생하기 위해 퓨필 평면에서의 어떠한 지점으로 지향될 수 있다.
일실시예에서, 2차 반사성 요소는 각각의 2차 반사성 요소의 배향이 고정되고 변하지 않도록 영구적으로 탑재된다. 각각의 1차 반사성 요소에 의한 2개의 상이한 2차 반사성 요소의 조명을 허용하기 위해, 2차 반사성 요소에 대한 1차 반사성 요소의 비율은 적어도 1:2이다. 1차 반사성 요소는 방사선을 언제든 하나의 대응하는 2차 반사성 요소 상으로 지향시키도록 구성된다. 또한, 1차 반사성 요소의 광출력(optical power)은, 1차 반사성 요소에 의해 반사된 방사선 빔이 언제든 하나의 대응하는 2차 반사성 요소만을 조명하기에 충분한 정도로 작게 되도록 하기 위해, 적합한 크기 및 에텐듀 비율(etendue ratio)을 갖는 방사선 빔을 발생하도록 구성된다.
도 4 및 도 5가 단지 소수의 1차 반사성 요소 및 이에 관련된 2차 반사성 요소만을 도시하고 있지만, 조명기는 더 많은 개수의 1차 반사성 요소 및 더 많은 개수의 2차 반사성 요소를 포함할 수 있다.
1차 반사성 요소의 어레이가 방사선 빔의 평면을 교차하는 평면에서 2차원 그리드형 형태(two dimensional grid-like formation)로 배치될 수 있거나, 및/또는 2차 반사성 요소의 어레이가 방사선 빔의 평면을 교차하는 평면에서 2차원 그리드형 형태로 배치될 수 있다. 1차 반사성 부품은 1차 반사성 요소의 하나 이상의 어레이를 포함할 수 있다. 마찬가지로, 2차 반사성 부품은 2차 반사성 요소의 하나 이상의 대응하는 어레이를 포함할 수 있다. 예컨대, 리소그래피 장치는 2차 반사성 요소의 32개의 어레이와 함께 이용되는 1차 반사성 요소의 16개의 어레이를 포함할 수 있다. 전술한 바와 같이, 이 설명에서의 "어레이"라는 표현은 하나의 어레이 또는 어레이의 그룹을 의미할 수 있다.
전술한 바와 같이, 리소그래피 장치는 각각의 1차 반사성 요소의 필요한 위치를 선택하고 그에 따라 각각의 1차 반사성 요소를 그 필요한 위치로 이동시킴으로써 조명기에서의 퓨필 평면에 요구된 조명 모드를 발생할 수 있다. 일실시예에서, 각각의 1차 반사성 요소의 배향은 단지 2개의 위치, 즉 제1 위치와 제2 위치로 한정되며, 정상적인 작동에서는 임의의 다른 위치(예컨대, 제1 위치와 제2 위치 사이의 위치)로 이동 가능하지 않다. 이러한 실시예는 아래에서는 2-위치 필드 패싯 미러로 지칭된다.
또 다른 실시예에서, 각각의 1차 반사성 요소는 예컨대 제1 위치와 제2 위치 사이일 수도 있는 제3 위치로 이동 가능하다. 제3 위치에서, 1차 반사성 요소에 의해 반사된 방사선은 기판에 도달하지 않는다. 제3 위치는 "오프" 위치로서 간주될 수도 있다. 이러한 실시예는 아래에서는 3-위치 필드 패싯 미러로 지칭된다. 3-위치 필드 패싯 미러의 변형예에서, 제3 위치는 적어도 일부의 방사선이 기판에 도달하도록 방사선을 퓨필 평면에서의 위치로 지향시킨다. 3-위치 필드 패싯 미러는 제3 위치가 "오프" 위치인 일부의 패싯 및 제3 위치가 "온" 위치인 몇몇의 패싯을 가질 수 있다. 그러나, 제1 위치 및 제2 위치와는 상이하다.
일실시예에서, 각각의 1차 반사성 요소는 1차 반사성 요소를 축(사전 결정된 축)을 중심으로 회전시킴으로써 이들 위치 사이에서 이동된다. 퓨필 평면에서의 제1 관련 지점(예컨대, 제1의 관련 2차 반사성 요소) 및 제2 관련 지점(예컨대, 제2의 관련 2차 반사성 요소)의 지점들은 제1 위치 및 제2 위치(제1 지점 및 제2 지점과 관련된)에 대한 회전의 각도에 좌우된다.
일실시예에서, 각각의 1차 반사성 요소의 제1 위치 및 제2 위치(및 후속하여 제1 및 제2 관련 지점)은 발생될 수 있는 유용한 조명 모드의 개수를 최대화하도록 선택된다.
1차 반사성 요소는 드라이버(driver)에 의해 제1 위치와 제2 위치 사이에서 축을 중심으로 회전될 수 있다.
하나 이상의 1차 반사성 요소는 동일한 축의 둘레를 회전하도록 구동되는 방식으로 구성될 수 있다. 하나 이상의 다른 반사성 요소는 다른 축의 둘레를 회전하도록 구동되는 방식으로 구성될 수 있다.
일실시예에서, 각각의 1차 반사성 요소는 1차 반사성 요소를 구동하기 위해 드라이버 모터(111)를 포함한다. 제1 위치와 제2 위치는 기계적인 멈춤 장치(end stop)에 의해 정해질 수 있으며, 이로써 2 위치 필드 패싯 미러에서, 드라이버 모터에 인가될 드라이버 신호가 2진 신호가 될 수 있다. 드라이버 모터를 위한 이러한 2진(2개의 값의) 드라이버 신호의 사용은 각각의 미러의 배향에 대한 피드백 제어를 제공하기 위한 제어 시스템의 복잡성을 경감시킨다. 3 위치 필드 패싯 미러에 대해서는 더 복잡한 제어 시스템이 요구되지만, 이러한 미러는 추가의 조명 모드가 형성될 수 있다는 장점을 갖는다. 예컨대, 멀티폴 조명 모드(multipole illumination mode)에서의 폴의 크기는 처리량의 감소의 대가로 제어될 수 있다.
도 6은 필드 패싯 미러에 의해 발생된 조명 모드를 도시하고 있다. 도 6에 도시된 조명 모드는 애뉼러 조명 모드이다. 이것은 이동 가능한 패싯 미러가 이들의 상이한 위치(채널 할당)에서 방사선을 지향시키는 방향의 적절한 선택에 의해 필드 패싯 미러가 시행하도록 배치되는 복수의 기본 조명 모드 중의 하나이다. 다른 기본 조명 모드는 다이폴 조명, 쿼드러폴 조명 및 통상의 조명을 포함할 수 있다. 시행될 수 있는 기본 조명 모드의 세트는 이들 타입의 변형, 예컨대 상이한 크기의 폴을 갖는 다이폴 또는 쿼드러폴 조명 모드, 또는 상이한 모드 σinner 또는 σo ut er 값을 갖는 애뉼러 조명 모드를 포함할 수 있다. 이미징될 소정의 패턴을 위해, 기본 조명 모드 중의 하나가 선택된다. 기본 조명 모드가 필드 패싯 미러에 의해 생성될 수 있는 이러한 모드의 제한된 세트로부터 선택되기 때문에, 어떠한 소정의 패턴을 이미징하는 것에는 이상적이지 않기가 쉬울 것이다. DUV 방사선을 이용하는 리소그래피 장치에서는, 줌-액시콘 또는 레티클 마스킹 블레이드의 사용을 통해 또는 제어된 양의 디포커스를 도입하기 위해 기판을 기울어지게 함으로써, 이러한 기본 조명 모드를 더 적은 단계로 조정하는 것이 가능하다. 그러나, 반사성 조명 광학장치가 미세 조정을 할 수 없고, 이미지 슬릿이 전반적으로 굽어져 있기 때문에, 이러한 작은 조정은 EUV 방사선을 이용하는 리소그래피 장치에서는 가능하지 않다.
그러나, 본 발명은 필드 패싯의 일부분을 기본 조명 모드를 시행하기 위해 요구되는 것과는 상이한 위치로 개별적으로 스위칭함으로써 조명 모드에 대한 미세 조정이 시행될 수 있다. 사전 결정된 기본 조명 모드를 시행하기 위해 함께 스위칭되는 그룹으로 필드 패싯 미러가 배치되는 곳에서, 본 발명은 이들 그룹 내의 개개의 미러를 스위칭하는 것이 바람직하다. 필드 패싯 미러의 몇몇을 상이한 위치로 스위칭하는 것은, 바람직하게는 σinner 및 σouter와 같은 파라미터에 의한 간편한 조명 모드를 발생하지 않을 것이다. 따라서, 필드 패싯 미러의 몇몇을 상이한 위치로 스위칭하는 것은 이들 파라미터를 조정하는 수단을 제공하지 않지만, 기판 레벨로 측정된 파라미터에 영향을 줄 수 있다. 이 접근 방식은 예컨대 CD 대 피치, NILS(정규화된 이미지 로그 슬로프) 대 피치, 및 HV 오프셋 대 피치와 같은 근접 효과(proximity effect)에 특히 효과적이다. 조정될 수 있는 다른 이미징 파라미터는 전체적인 HV 오프셋을 포함한다. 본 발명의 실시예는 또한 리소그래피 장치들을 매칭하는데 유용하다.
본 발명의 실시예는 필드 패싯 중의 상이한 패싯들을 소정의 이미지 파라미터를 통해 상이한 위치로 개별적으로 스위칭하는 효과가 선형적으로 합산될 수 있는 곳에서 특히 효과적이다. 본 발명의 발명자는, 필드 패싯의 최대 20%, 바람직하게는 최대 10%가 이들의 기본 세팅으로부터 스위칭되면, 상이한 패싯의 효과를 합산하는 능력이 선형적으로 유지되는 것으로 판정하였다. 이것은 구체적인 예를 참조하여 아래에서 추가로 논의된다.
도 7은 도 6의 애뉼러 조명 모드의 밝은 환형 내에 예컨대 흑색의 2개의 어두운 막대를 생성하기 위해 필드 패싯 중의 10개를 "오프" 위치로 스위칭함으로써 도 6의 내측으로부터 형성된 조명 모드를 개략적으로 도시하고 있다. 어두운 막대는 이 예에서는 퓨필 평면의 X 축 상에 위치되고, Y 축에 평행하게 연장한다. 도 8은 밝은 환형 내에 4개의 어두운 정사각형을 생성하기 위해 16개의 필드 패싯을 "오프" 위치로 스위칭함으로써 도 6의 기본 조명 모드로부터 형성된 조명 모드를 개략적으로 도시하고 있다. 이 예에서, 4개의 어두운 정사각형은 X 축 및 Y 축에 대해 45도를 이루는 라인 상에 이들 축에 대해 대칭으로 위치된다. 도 9는 도 7의 어두운 막대를 생성하기 위해 사용된 10개의 패싯과 도 8의 어두운 정사각형을 생성하기 위해 사용된 16개의 패싯 모두가 오프 위치로 스위칭되는 때에 그 결과로 발생되는 조명 모드를 개략적으로 도시하고 있다. 따라서, 도 9의 조명 모드는 어두운 막대와 어두운 정사각형 모두를 포함한다.
이들 상이한 조명 모드가 CD에 미치는 영향이 도 10에 그래프로 도시되어 있으며, 이 그래프는 0.3의 NA를 갖고 7nm 1s 레지스트 블러(resist blur)를 포함하는 장치에서의 22nm 노드 패턴의 시뮬레이션의 결과를 나타낸다. 아래에 설명되는 추가의 시뮬레이션에서 동일한 조건이 이용되었다. 도 10에서, X 축은 nm 단위의 피치를 나타내고, Y 축은 도 6의 조명 모드에 관련한 CD의 변화를 나타낸다. 도 10에서, 도 7의 조명 모드의 CD에 대한 영향은 흑색 다이아몬드가 결합된 라인으로 나타내어져 있으며, "dCD 10fX"로 표기되어 있다. 도 8의 조명 모드의 영향은 흑색 정사각형이 결합된 라인으로 나타내어져 있으며, "dCD 16fXY"로 표기되어 있다. 이들 2개의 곡선의 합은 흑색 삼각형이 결합된 라인으로 나타내어져 있으며, "dCD SP"로 표기되어 있다. 이것은 크로스(x) 표시가 결합되고 "dCD SV"로 표기된 라인에, 실질적으로 실험적 오차의 한계 내에서는 동일한 정도로, 매우 근접하고 있다는 것을 알 수 있으며, "dCD SV"로 표기된 라인은 도 9의 조합된 조명 모드를 이용하여 획득된 시뮬레이션 결과를 나타내고 있다. 도 11은 NILS(정규화된 이미지 로그 슬로프)에 대한 유사한 결과를 도시하고 있다. 도 6의 조명 모드로 달성되는 것과 비교된, 도 7의 조명 모드(다이아몬드) 및 도 8의 조명 모드(정사각형)에 대한 정규화된 이미지 로그 슬로프의 변화에 대한 라인과, 이들 변화의 합(삼각형)에 대한 라인과, 도 9의 조명 모드(크로스)로부터의 시뮬레이션된 결과에 대한 라인이, 플로트되어 있다. 역시, 예측된 결과와 검증된 결과가 거의 정확하게 일치한다는 것을 볼 수 있다.
이 예에서, 사용된 필드 패싯 미러는 도 6의 애뉼러 조명 모드를 발생하기 위해 전부 "온"으로 스위치되는 300개보다 많은 패싯을 가지며, 이로써 이미징 파라미터를 조정하기 위해 오프로 스위칭되는 패싯의 개수는 전체 개수의 약 10% 미만이 된다. 기판 레벨에서의 이미지 세기 및 그에 따라 처리량에 있어서의 대응하는 감소가 있다는 것을 이해할 것이다. 그러나, 이러한 처리량의 손실은 조정의 결과로 발생하는 이미징의 향상이 수율에 있어서의 향상을 발생한다면 감내할 수 있는 것이다.
도 12는 또 다른 기본 조명 모드인 오프축 쿼드러폴 모드를 도시하고 있다. 이 모드에서, 퓨필 평면에서의 세기 분포는 X 축과 Y 축 사이의 45도 라인 상에 조명 시스템의 광학축의 둘레에 대칭으로 위치된 4개의 밝은 폴을 갖는다. 본 발명의 방법의 예에서, 이것은 다수의 패싯을 "오프" 위치로 설정함으로써 도 13에 개략적으로 도시된 것과 같이 수정된다. 패싯의 개수는 도 12의 조명 모드를 시행하기 위해 "온"으로 설정된 개수의 10% 미만으로 제한되며, 픽셀은 피치의 함수로서 타겟 수직 라인 스페이스를 매칭하도록 선택된다. 이것은 도 14에 별표가 결합되고 "Vt"로 표기된 라인으로서 도시되어 있다. 도 12의 기본 조명 모드에 대한 라인 스페이스 비율은 도 14에서 다이아몬드가 결합되고 "b"로 표기된 라인으로서 도시되어 있다. 실제로 달성된 수직 라인 스페이스 비율(정사각형, V)과 예측된 수직 라인 스페이스 비율(크로스, Vp)은 타겟과 실제로 구별할 수 없다는 것을 알 수 있다. 이 예에서, 수평 라인 스페이스 비율 H(삼각형)는 이제 수직 라인 스페이스 비율과 상이하지만, 이것은 최적화(optimization)에 의해 허용된다. 대안의 최적화는 타겟을 매칭하는 것보다 HV 오프셋을 최소화하는 것을 우선시할 수도 있다.
본 발명의 추가의 예를 도 15 내지 도 17에 관련하여 설명한다. 이 예에서, 기본 조명 모드는, 각각의 폴이 X 축 상에 중심이 맞춰진 애뉼러 조명 모드의 90도 호(90 degree arc)인 다이폴 모드이다. 이 모드는 도 16에 개략적으로 도시된 바와 같이 다이폴에 기여하는 패싯 중의 임의의 것을 이들의 교번적인 "온" 위치로 스위칭함으로써 수정된다. 그러므로, 스위칭되는 각각의 패싯은 기본 조명 모드의 밝은 다이폴 내의 어두운 영역뿐만 아니라 다이폴 조명 모드 외측의 어두운 영역에서의 밝은 영역을 생성한다. 도 17에서 알 수 있는 바와 같이, 이 구성은 도 16의 조명 모드를 이용하여 획득된 곡선(삼각형)에 나타낸 바와 같이 요구된 dCD 대 피치 곡선(다이아몬드)의 밀착 매칭을 가능하게 한다.
도 18에는 본 발명의 실시예를 구현하기 위해 배치된 제어 시스템이 도시되어 있다. 컨트롤러(60)는 기본 조명 모드 및 최적화 또는 매칭 타겟을 정하는 정보를 인터페이스(61)로부터 수신한다. 인터페이스(61)는 사용자가 요구된 정보를 입력하는 인터페이스일 수도 있고 또는 리소셀(lithocell) 또는 클러스터 내의 전체 디바이스 및/또는 다른 관련 디바이스와 같이 리소그래피 장치의 동작을 조정하는 감독 기기 제어 시스템에 대한 인터페이스일 수도 있다. 인터페이스(61)는 또한 기본 조명 모드 및 최적화 또는 매칭 타겟을 정하는 정보가 저장되는 메모리를 포함할 수 있다. 이 정보에 기초하여, 컨트롤러(60)는 액추에이터(111)에게 필드 패싯 미러를 적합한 조명 모드를 시행하는데 필요한 위치로 설정하도록 지시한다. 이와 같이 함에 있어서, 컨트롤러(60)는, 각각의 필드 패싯 미러에 대하여 이러한 각각의 필드 패싯 미러를 기본 조명 모드를 위해 요구되는 위치로부터 "오프" 위치 또는 세밀한(attentive) "온" 위치로 개별적으로 스위칭하는 효과를 식별하는 정보를 저장하는 메모리(62)에 대한 레퍼런스를 갖는다. 컨트롤러(60)는 임의의 다른 필드 패싯 미러가 전술한 원리 및 최적화 또는 매칭 타겟에 기초하여 보정 위치(corrcetive position)로 설정될 필요가 있는지의 여부를 판정하기 위해 이 정보를 이용한다.
전술한 바와 같이, 본 발명은 상이한 리소그래피 장치들을 매칭시키는데 특히 유용하다. 기판이 여러 개의 상이한 리소그래피 장치에 대한 소정의 레시피(recipe)에 따라 소정 패턴에 노출되는 곳에서, 상이한 장치에 의해 발생된 결과가 일치하는 것이 바람직한 경우가 있다. 그러므로, 하나의 장치가 기준 장치로서 지정된다. 리소그래피 장치의 관련 조정 가능 파라미터의 전부에 대한 값을 뜻하는 레시피가 기준 기기에 대해 최적화된 후, 그 설정치가 다른 기기에 대한 출발점(starting point)으로서 이용되지만, 그 후 일치하는 성능을 제공하기 위해 조정된다. 이 프로세스는 도 19에 더욱 상세하게 도시되어 있다. 도 19는 단계들을 2개의 열로 도시하고 있다. 100A로 표기된 열에서의 단계는 기준 장치에 대해 수행된다. 100B로 표기된 열에서의 단계는 기준 장치에 매칭될 장치에 대해 수행된다. 이들 단계들은 어떠한 개수의 다른 장치에 대해서도 수행될 수 있으며, 소정의 레시피를 프린트하기 위해 사용될 모든 다른 장치에 대해 수행되는 것이 바람직하다.
단계 S1에서는, 이 프로세스에 대한 기본 레시피가 구축된다. 기본 레시피는 레티클의 세부사항, 레티클에 가해지는 광근접보정(OPC), 노광 전과 후에 수행될 공정 단계, 및 기본 조명 모드의 선택을 포함할 수 있다. 단계 S2에서, 기본 레시피의 성능이 결정된다. 이것은 시뮬레이션에 의해 또는 테스트 기판의 실제 프린팅에 의해 행해질 수 있다. 그 결과가 만족스럽지 않다면, 기본 레시피의 파라미터가 새롭게 다시 결정된다. 단계 S2에서, 예컨대 근접 효과 전기(proximity effects electricity) 및/또는 텔레센트리시티(telecentricity)와 같은 추가로 최적화될 파라미터가 측정된다. 단계 S3는 어떠한 필드 패싯을 다른 위치로 스위칭함으로써 기본 조명 모드에 대한 조정을 결정하여, 예컨대 피치에 따른 CD 변동과 같은 관심 대상의 이미징 파라미터를 향상시킬 것이다. 단계 S4에서, 이들 조정의 효과가 시뮬레이션에 의해 또는 테스트 기판의 실제 프린팅에 의해 결정된다. 그 결과가 만족스러우면, 본 방법은 그 다음 단계로 진행하고, 그렇지 않다면 추가의 조정이 이루어질 수 있다. 단계 S5에서, 기준 장치(100A)의 성능의 최종적인 특성화(final caraterization)가 수행되어, 매칭할 표준을 제공한다.
한편, 기준 리소그래피 장치(100A)에 매칭될 리소그래피 장치(100B)에 대해 기본 레시피가 단계 S6에서 적용되고, 단계 S7에서 검증된다. 복수의 리소그래피 장치가 기준 장치(100A)에 매칭되는 곳에서, 이들 단계는 상이한 리소그래피 장치에 대해 병렬로 실행될 수 있다. 리소그래피 장치(100B)에 대해 적합한 레벨의 성능이 구축된 후, 기본 조명 모드는 관련 이미징 파라미터에 있어서 기준 장치의 성능에 매칭하기 위해 전술한 바와 같이 수정된다. 기준 장치 및 매칭될 장치의 특성의 약간의 변동으로 인해, 리소그래피 장치(100B)에 적용되는 기본 조명 모드에 대한 조정이 기준 장치에 적용되는 것과는 상이할 수도 있다는 것에 유의하기 바란다.
매칭 파라미터가 결정된 후, 기판의 제조가 기준 장치에서 시작될 수 있고(단계 S9), 또한 매칭된 장치(100B)에서도 수행될 수 있다(단계 S11). 통상적인 바대로, 제조 노광이 모니터링될 것이고(단계 S10, S12), 필요한 경우 관련 이미징 파라미터에 대한 추가의 조정이 이루어질 수도 있다.
이 매칭 프로세스를 위해, 최초 입력은 핵심 시스템 파라미터, 구체적으로 조명 설정 및 아포다이제이션 프로파일(apodization profile)이다. 또한, 매칭 고정을 위해 성능 지수(a figure of merit) 및 적용될 가중 계수를 특정하는 것이 필요하다. 매칭 프로세스에 대한 추가의 제한은 달성될 투과율이다. 이것은 실제로 "오프" 위치로 설정될 수 있는 패싯의 개수를 제한한다. 일실시예에서, 90%, 80%, 75%, 60% 또는 50%의 투과율 한계가 설정될 수 있다. 매칭을 수행하기 위해서는, 기본 조명 모드와, 각각의 필드 패싯이 각각 "오프"로 설정된 상태의 기본 조명 모드와, 각각의 패싯이 각각 교번적인(alternative) "온" 위치를 추구하는 상태의 기본 조명 모드로부터 획득된 대상으로 하는 이미징 파라미터의 결과값을 계산하는 것이 필요하다. 그러나, 이들 값은 시뮬레이션을 통해 미리 결정될 수 있으며, 기준 장치 및 매칭될 임의의 다른 장치 양자에 적용될 수 있다. 이들 값이 주어지면, 요구된 최적화를 시행하기 위한 적합한 솔루션이 종래의 기술을 이용하여 수행될 수 있다.
본 명세서에서는, 집적회로(IC)의 제조에 있어서 리소그래피 장치의 사용예에 대해 특정하게 언급하였지만, 본 명세서에서 설명된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리(magnetic domain memory)용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같은 기타 응용예들을 가질 수 있음을 이해하여야 한다. 당업자라면, 이러한 다른 응용예와 관련하여, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟 영역"과 같은 좀더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은, 노광 전후에, 예컨대 트랙(통상적으로 기판에 레지스트층을 도포하고 노광된 레지스트를 현상하는 장치), 계측 장비, 및/또는 검사 장치에서 처리될 수 있다. 적용 가능한 범위에서, 상기한 기판 처리 장치와 여타 기판 처리 장치에 본 명세서의 개시 내용이 적용될 수 있다. 또한, 예컨대 다층 집적회로를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수도 있다.
"렌즈"라는 용어는 문맥이 허용하는 곳에서는 굴절식, 반사식, 자기식, 전자기식, 및 정전식 광학 요소들을 포함하는 다양한 타입의 광학 요소들 중 어느 하나 또는 그 조합을 지칭할 수도 있다.
이상으로 본 발명의 구체적인 실시예를 설명하였지만, 본 발명은 설명된 것과는 다르게 실시될 수도 있다. 예컨대, 본 발명의 어떠한 특징은 전술한 바와 같은 방법을 기술하는 기계 판독 가능 명령어의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 안에 저장되어 있는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크, 또는 광디스크)로서 구현될 수 있다. 전술한 설명은 본 발명을 예시하기 위한 것으로, 본 발명을 제한하려는 것은 아니다. 그러므로, 당업자라면 아래에 한정되는 청구범위의 사상으로부터 벗어나지 않고서도 전술한 바와 같은 본 발명에 대한 수정을 가할 수 있을 것이다.

Claims (27)

  1. 패터닝 장치로부터의 패턴을 기판 상으로 투영하도록 배치되며, 방사선 빔을 조절하고 이 방사선 빔을 상기 패터닝 장치 상으로 지향시키도록 구성된, 리소그래피 장치용 조명 시스템에 있어서,
    제1 반사성 부품 및 제2 반사성 부품; 및
    제어 시스템을 포함하며,
    상기 제1 반사성 부품이 방사선 빔의 방사선을 상기 제2 반사성 부품 상으로 지향시키도록 배치되고, 상기 제1 반사성 부품이 복수의 이동 가능한 반사성 요소를 포함하며, 각각의 상기 이동 가능한 반사성 요소가 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있고, 상기 제2 반사성 부품이 상기 패터닝 장치의 퓨필 평면(pupil plane)에 연관되며,
    상기 제어 시스템이 사전 결정된 조명 모드의 세트로부터 선택된 요구된 조명 모드를 시행하기 위해 복수의 상기 이동 가능한 반사성 요소를 각각의 요구된 위치로 설정하도록 배치되며, 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치(corrcetive position)로 설정하도록 배치되는,
    것을 특징으로 하는 조명 시스템.
  2. 제1항에 있어서,
    상기 제어 시스템은 패턴에서의 근접 효과(proximity effect)의 보정을 시행하도록 배치되는, 조명 시스템.
  3. 제2항에 있어서,
    상기 제어 시스템은 피치에 따른 CD 변동, 피치에 따른 NILS 변동, 및 피치에 따른 라인:스페이스 비율로 이루어진 군에서 선택된 이미징 파라미터의 조정을 시행하도록 배치되는, 조명 시스템.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 제어 시스템은, 하나 이상의 상기 이동 가능한 반사성 요소를, 이 하나 이상의 이동 가능한 반사성 요소가 방사선을 기판에 도달하지 않게 하는 방향으로 방사선을 지향시키도록 하는 위치로, 설정하도록 배치되는, 조명 시스템.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 제어 시스템은, 하나 이상의 상기 이동 가능한 반사성 요소를, 이 하나 이상의 이동 가능한 반사성 요소가 방사선을 기판에 도달하게 하는 방향으로 방사선을 지향시키도록 하는 위치로, 설정하도록 배치되는, 조명 시스템.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 제어 시스템은, 요구된 조명 모드의 밝은 영역에 어두운 스폿(dark spot)이 형성되도록, 하나 이상의 상기 이동 가능한 반사성 요소를 설정하도록 배치되는, 조명 시스템.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 제어 시스템은, 요구된 조명 모드의 어두운 영역에 밝은 스폿이 형성되도록, 하나 이상의 상기 이동 가능한 반사성 요소를 설정하도록 배치되는, 조명 시스템.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 제어 시스템은,
    각각의 위치에서의 각각의 상기 이동 가능한 반사성 요소의 이미징 파라미터에 대한 작용을 식별하는 정보를 저장하도록 배치됨으로써, 상기 제어 시스템이, 선택된 위치에서의 선택된 상기 이동 가능한 반사성 요소의 작용의 합계가 이미징 파라미터의 요구된 조정에 가능한 한 근접하게 되도록, 하나 또는 그 이상의 상기 이동 가능한 반사성 요소 및 이들의 위치를 선택하는 선택기를 채용할 수 있도록 하는, 메모리와,
    선택된 상기 이동 가능한 반사성 요소를 선택된 위치로 설정하는 효과의 합계가 이미징 파라미터의 요구된 조정에 가능한 한 근접하게 되도록, 하나 또는 그 이상의 상기 이동 가능한 반사성 요소 및 이들의 위치를 선택하도록 배치된 선택기
    를 포함하며,
    상기 제어 시스템은 선택된 상기 이동 가능한 반사성 요소를 선택된 위치로 설정하도록 배치되는,
    조명 시스템.
  9. 제8항에 있어서,
    상기 이동 가능한 반사성 요소는 적어도 1의 대칭도(one degree of symmetry)를 갖는 상기 제2 반사성 부품 상의 위치에 방사선을 지향하도록 배치되며,
    상기 메모리는 상기 이동 가능한 반사성 요소의 기판에 대한 정보를 저장하도록 배치되며,
    상기 제어 시스템은, 정보가 저장되지 않은 이동 가능한 반사성 요소의 작용을, 저장되어 있는 정보 및 대칭도에 기초하여 계산하도록 배치된 계산기를 더 포함하는,
    조명 시스템.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 제어 시스템은 상기 사전 결정된 조명 모드를 통상의 조명, 다이폴 조명, 쿼드러폴 조명, 소프트 쿼드러폴 조명, 및 애뉼러 조명으로 이루어진 군에서 선택하도록 배치되는, 조명 시스템.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    상기 제어 시스템은 상기 이동 가능한 반사성 요소의 약 20% 미만을 상기 보정 위치로 설정하도록 배치되는, 조명 시스템.
  12. 제11항에 있어서,
    상기 제어 시스템은 상기 이동 가능한 반사성 요소의 약 10% 미만을 상기 보정 위치로 설정하도록 배치되는, 조명 시스템.
  13. 디바이스 제조를 위한 리소그래피 방법에 있어서,
    패터닝 장치의 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있는 복수의 이동 가능한 반사성 요소를 포함하는 제1 반사성 부품 상으로 방사선 빔을 지향시켜서, 이 방사선이 상기 제1 반사성 부품에 의해 반사되어 제2 반사성 부품 상에 입사된 후에 상기 패터닝 장치 상에 입사되도록 하는 단계;
    상기 패터닝 장치를 이용하여 방사선 빔을 패터닝하는 단계;
    패터닝된 방사선 빔을 기판 상으로 투영하는 단계;
    사전 결정된 조명 모드의 세트로부터 선택된 요구된 조명 모드를 정하기 위해 상기 이동 가능한 반사성 요소를 요구된 위치로 설정하는 단계; 및
    이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치로 설정하는 단계
    를 포함하는 것을 특징으로 하는 디바이스 제조를 위한 리소그래피 방법.
  14. 제13항에 있어서,
    하나 이상의 상기 이동 가능한 반사성 요소가 패턴에서의 근접 효과의 보정을 시행하기 위해 보정 위치로 설정되는, 디바이스 제조를 위한 리소그래피 방법.
  15. 제14항에 있어서,
    하나 이상의 상기 이동 가능한 반사성 요소는, 피치에 따른 CD 변동, 피치에 따른 NILS 변동, 및 피치에 따른 라인:스페이스 비율로 이루어진 군에서 선택된 이미징 파라미터의 조정을 시행하기 위해 보정 위치로 설정되는, 디바이스 제조를 위한 리소그래피 방법.
  16. 제13항 내지 제15항 중 어느 한 항에 있어서,
    하나 이상의 상기 이동 가능한 반사성 요소는, 이 하나 이상의 이동 가능한 반사성 요소가 방사선을 기판에 도달하지 않게 하는 방향으로 방사선을 지향시키도록 하는 위치로 설정되는, 디바이스 제조를 위한 리소그래피 방법.
  17. 제13항 내지 제15항 중 어느 한 항에 있어서,
    하나 이상의 상기 이동 가능한 반사성 요소는, 이 하나 이상의 이동 가능한 반사성 요소가 방사선을 기판에 도달하게 하는 방향으로 방사선을 지향시키도록 하는 위치로 설정되는, 디바이스 제조를 위한 리소그래피 방법.
  18. 제13항 내지 제17항 중 어느 한 항에 있어서,
    하나 이상의 상기 이동 가능한 반사성 요소는, 요구된 조명 모드의 어두운 영역에 밝은 스폿이 형성되도록 설정되는, 디바이스 제조를 위한 리소그래피 방법.
  19. 제13항 내지 제18항 중 어느 한 항에 있어서,
    하나 이상의 상기 이동 가능한 반사성 요소는, 요구된 조명 모드의 밝은 영역에 어두운 스폿이 형성되도록 설정되는, 디바이스 제조를 위한 리소그래피 방법.
  20. 제13항 내지 제19항 중 어느 한 항에 있어서,
    각각의 상기 이동 가능한 반사성 요소가 채용할 수 있는 각각의 위치에서의 각각의 상기 이동 가능한 반사성 요소의 이미징 파라미터에 대한 작용을 식별하는 정보를 저장하는 단계;
    선택된 상기 이동 가능한 반사성 요소를 선택된 위치에 설정하는 효과의 합계가 이미징 파라미터의 요구된 조정에 가능한 한 근접하게 되도록, 하나 이상의 상기 이동 가능한 반사성 요소 및 이들의 위치를 선택하는 단계; 및
    선택된 상기 이동 가능한 반사성 요소를 선택된 위치로 설정하는 단계
    를 더 포함하는 디바이스 제조를 위한 리소그래피 방법.
  21. 제20항에 있어서,
    상기 이동 가능한 반사성 요소는 적어도 1의 대칭도(one degree of symmetry)를 갖는 상기 제2 반사성 부품 상의 위치에 방사선을 지향하도록 배치되며,
    상기 저장하는 단계는, 상기 이동 가능한 반사성 요소의 기판에 대한 정보를 저장하는 단계와,
    정보가 저장되지 않은 이동 가능한 반사성 요소의 작용을, 저장되어 있는 정보 및 대칭도에 기초하여 계산하는 단계를 포함하는,
    디바이스 제조를 위한 리소그래피 방법.
  22. 제13항 내지 제21항 중 어느 한 항에 있어서,
    상기 사전 결정된 조명 모드는, 통상의 조명, 다이폴 조명, 쿼드러폴 조명, 소프트 쿼드러폴 조명, 및 애뉼러 조명으로 이루어진 군에서 선택되는, 디바이스 제조를 위한 리소그래피 방법.
  23. 제13항 내지 제22항 중 어느 한 항에 있어서,
    상기 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치로 설정하는 단계는, 상기 이동 가능한 반사성 요소의 약 20% 미만을 보정 위치로 설정하는 단계를 포함하는, 디바이스 제조를 위한 리소그래피 방법.
  24. 제23항에 있어서,
    상기 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치로 설정하는 단계는, 상기 이동 가능한 반사성 요소의 약 10% 미만을 보정 위치로 설정하는 단계를 포함하는, 디바이스 제조를 위한 리소그래피 방법.
  25. 리소그래피 장치를 제어하기 위한 명령어를 저장하는 컴퓨터 판독 가능 메모리를 포함하는 컴퓨터 프로그램 제품으로서,
    상기 리소그래피 장치는 패터닝 장치로부터의 패턴을 기판 상으로 투영하도록 배치되고, 방사선 빔을 조절하고 방사선 빔을 패터닝 장치 상으로 지향하도록 구성된 조명 시스템을 포함하며, 상기 조명 시스템이, 제1 반사성 부품 및 제2 반사성 부품과, 제어 시스템을 포함하며, 상기 제1 반사성 부품이 방사선 빔의 방사선을 상기 제2 반사성 부품 상으로 지향시키도록 배치되고, 복수의 이동 가능한 반사성 요소를 포함하며, 각각의 상기 이동 가능한 반사성 요소가 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있고, 상기 제2 반사성 부품이 상기 패터닝 장치의 퓨필 평면(pupil plane)에 연관되며, 또한 상기 제어 시스템이 복수의 상기 이동 가능한 반사성 요소를 각각의 요구된 위치로 설정하도록 배치되며,
    상기 명령어는,
    사전 결정된 조명 모드의 세트로부터 선택된 요구된 조명 모드를 형성하기 위해 상기 이동 가능한 반사성 요소를 요구된 위치로 설정하는 단계와, 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치로 설정하는 단계를 포함하는 방법
    을 실행하도록 작용하는 것을 특징으로 하는 컴퓨터 프로그램 제품.
  26. 레시피(recipe)를 따라 디바이스를 제조하기 위해 복수의 리소그래피 장치를 매칭하는 방법으로서, 각각의 상기 리소그래피 장치는 패터닝 장치로부터의 패턴을 기판 상으로 투영하도록 배치되고, 방사선 빔을 조절하고 방사선 빔을 패터닝 장치 상으로 지향하도록 구성된 조명 시스템을 포함하며, 상기 조명 시스템이, 제1 반사성 부품 및 제2 반사성 부품과, 제어 시스템을 포함하며, 상기 제1 반사성 부품이 방사선 빔의 방사선을 상기 제2 반사성 부품 상으로 지향시키도록 배치되고, 복수의 이동 가능한 반사성 요소를 포함하며, 각각의 상기 이동 가능한 반사성 요소가 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있으며, 상기 제2 반사성 부품이 상기 패터닝 장치의 퓨필 평면(pupil plane)에 연관되며, 또한 상기 제어 시스템이 복수의 상기 이동 가능한 반사성 요소를 각각의 요구된 위치로 설정하도록 배치되며,
    상기 방법은,
    사전 결정된 조명 모드의 세트로부터 기본 조명 모드를 선택함으로써 또한 이미징 파라미터의 조정을 시행하기 위해 보정 위치로 설정할 상기 리소그래피 장치의 제1 리소그래피 장치의 이동 가능한 반사성 요소 중의 하나 이상을 선택함으로써, 상기 제1 리소그래피 장치에 대한 조명 설정을 최적화하는 단계; 및
    기본 조명 모드에 기여하도록 작용하는 위치와는 상이한 보정 위치로 설정할 상기 리소그래피 장치의 제2 리소그래피 장치의 이동 가능한 반사성 요소 중의 하나 이상을 선택함으로써, 상기 제2 리소그래피 장치의 이미징 성능이 상기 제1 리소그래피 장치와 매칭하도록, 상기 제2 리소그래피 장치에 대한 조명 설정을 결정하는 단계
    를 포함하는 것을 특징으로 하는 복수의 리소그래피 장치의 매칭 방법.
  27. 리소그래피 장치에 있어서,
    방사선 빔을 조절하도록 구성된 조명 시스템;
    상기 조명 시스템으로부터 조절된 방사선 빔을 수광하여 이 조절된 방사선 빔을 패터닝함으로써 패터닝된 방사선 빔을 형성하도록 구성된 패터닝 장치를 지지하도록 구성된 지지체; 및
    패터닝된 방사선 빔을 기판 상으로 투영하도록 구성된 투영 시스템
    을 포함하며,
    상기 조명 시스템은,
    제1 반사성 부품 및 제2 반사성 부품과, 제어 시스템을 포함하며,
    상기 제1 반사성 부품이 방사선 빔의 방사선을 상기 제2 반사성 부품 상으로 지향시키도록 배치되고, 상기 제1 반사성 부품이 복수의 이동 가능한 반사성 요소를 포함하며, 각각의 상기 이동 가능한 반사성 요소가 조명 모드를 변경하기 위해 적어도 제1 위치와 제2 위치 사이에서 이동할 수 있고, 상기 제2 반사성 부품이 상기 패터닝 장치의 퓨필 평면에 연관되며,
    상기 제어 시스템이 사전 결정된 조명 모드의 세트로부터 선택된 요구된 조명 모드를 시행하기 위해 복수의 상기 이동 가능한 반사성 요소를 각각의 요구된 위치로 설정하도록 배치되며, 이미징 파라미터의 조정을 시행하기 위해 하나 이상의 상기 이동 가능한 반사성 요소를 이 반사성 요소의 요구된 위치와는 상이한 보정 위치로 설정하도록 배치되는,
    것을 특징으로 하는 리소그래피 장치.
KR1020127015617A 2009-12-23 2010-11-19 리소그래피 장치 및 디바이스 제조 방법 KR101795610B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US28973609P 2009-12-23 2009-12-23
US61/289,736 2009-12-23
PCT/EP2010/067834 WO2011076500A1 (en) 2009-12-23 2010-11-19 Lithographic apparatus and device manufacturing method

Publications (2)

Publication Number Publication Date
KR20130007541A true KR20130007541A (ko) 2013-01-18
KR101795610B1 KR101795610B1 (ko) 2017-11-08

Family

ID=43662252

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127015617A KR101795610B1 (ko) 2009-12-23 2010-11-19 리소그래피 장치 및 디바이스 제조 방법

Country Status (7)

Country Link
US (1) US9052605B2 (ko)
JP (1) JP5775530B2 (ko)
KR (1) KR101795610B1 (ko)
CN (1) CN102695988B (ko)
NL (1) NL2005724A (ko)
TW (1) TWI616724B (ko)
WO (1) WO2011076500A1 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2004303A (en) * 2009-03-04 2010-09-06 Asml Netherlands Bv Illumination system, lithographic apparatus and method of forming an illumination mode.
DE102012207377A1 (de) 2012-05-03 2013-11-07 Carl Zeiss Smt Gmbh Beleuchtungsoptik sowie optisches System für die EUV-Projektionslithographie
DE102012209412A1 (de) * 2012-06-04 2013-12-05 Carl Zeiss Smt Gmbh Optisches Verfahren und optische Messvorrichtung zum Messen von Winkellagen von Facetten zumindest eines Facettenspiegels für EUV-Anwendungen
DE102012212664A1 (de) 2012-07-19 2014-01-23 Carl Zeiss Smt Gmbh Verfahren zum Einstellen eines Beleuchtungssettings
EP2754524B1 (de) 2013-01-15 2015-11-25 Corning Laser Technologies GmbH Verfahren und Vorrichtung zum laserbasierten Bearbeiten von flächigen Substraten, d.h. Wafer oder Glaselement, unter Verwendung einer Laserstrahlbrennlinie
CN103048894B (zh) * 2013-01-29 2014-10-15 中国科学院光电研究院 一种光刻机投影物镜波像差在线测量装置和方法
EP2781296B1 (de) 2013-03-21 2020-10-21 Corning Laser Technologies GmbH Vorrichtung und verfahren zum ausschneiden von konturen aus flächigen substraten mittels laser
US11556039B2 (en) 2013-12-17 2023-01-17 Corning Incorporated Electrochromic coated glass articles and methods for laser processing the same
US9517963B2 (en) 2013-12-17 2016-12-13 Corning Incorporated Method for rapid laser drilling of holes in glass and products made therefrom
WO2015161948A1 (en) * 2014-04-25 2015-10-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9815144B2 (en) 2014-07-08 2017-11-14 Corning Incorporated Methods and apparatuses for laser processing materials
CN107073642B (zh) * 2014-07-14 2020-07-28 康宁股份有限公司 使用长度和直径可调的激光束焦线来加工透明材料的系统和方法
HUE055461T2 (hu) 2015-03-24 2021-11-29 Corning Inc Kijelzõ üveg kompozíciók lézeres vágása és feldolgozása
DE102015209176A1 (de) * 2015-05-20 2016-11-24 Carl Zeiss Smt Gmbh Beleuchtungsoptik für die EUV-Projektionslithographie
DE102015209175A1 (de) * 2015-05-20 2016-11-24 Carl Zeiss Smt Gmbh Pupillenfacettenspiegel
DE102015221209A1 (de) * 2015-10-29 2017-05-04 Carl Zeiss Smt Gmbh Optische Baugruppe mit einem Schutzelement und optische Anordnung damit
DE102016205624B4 (de) * 2016-04-05 2017-12-28 Carl Zeiss Smt Gmbh Beleuchtungsoptik für die EUV-Projektionslithografie, Beleuchtungssystem, Projektionsbelichtungsanlage und Verfahren zur Projektionsbelichtung
US9791786B1 (en) * 2016-04-08 2017-10-17 Applied Materials, Inc. Method to reduce line waviness
DE102016213785A1 (de) * 2016-07-27 2018-02-01 Carl Zeiss Smt Gmbh Verfahren zur Einstellung eines Beleuchtungssettings
KR102078294B1 (ko) 2016-09-30 2020-02-17 코닝 인코포레이티드 비-축대칭 빔 스폿을 이용하여 투명 워크피스를 레이저 가공하기 위한 기기 및 방법
KR102428350B1 (ko) 2016-10-24 2022-08-02 코닝 인코포레이티드 시트형 유리 기판의 레이저 기반 기계 가공을 위한 기판 프로세싱 스테이션
JP2020534674A (ja) * 2017-09-20 2020-11-26 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のための制御システム
US10783290B2 (en) * 2017-09-28 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. IC manufacturing recipe similarity evaluation methods and systems
CN112445076B (zh) * 2019-08-30 2022-04-22 上海微电子装备(集团)股份有限公司 光刻机、曝光系统及实现离轴照明的方法与离轴照明装置
CN111083825B (zh) * 2019-12-18 2021-12-21 江苏紫米电子技术有限公司 一种灯效控制方法、装置、电子设备和存储介质

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737662B2 (en) 2001-06-01 2004-05-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, control system, computer program, and computer program product
US7015491B2 (en) 2001-06-01 2006-03-21 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby, control system
DE10219514A1 (de) 2002-04-30 2003-11-13 Zeiss Carl Smt Ag Beleuchtungssystem, insbesondere für die EUV-Lithographie
US7057709B2 (en) * 2003-12-04 2006-06-06 International Business Machines Corporation Printing a mask with maximum possible process window through adjustment of the source distribution
US20050231651A1 (en) * 2004-04-14 2005-10-20 Myers Timothy F Scanning display system
US7123348B2 (en) * 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US7283209B2 (en) * 2004-07-09 2007-10-16 Carl Zeiss Smt Ag Illumination system for microlithography
WO2006033336A1 (ja) * 2004-09-22 2006-03-30 Nikon Corporation 照明装置、露光装置及びマイクロデバイスの製造方法
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
EP1894063A1 (en) * 2005-06-21 2008-03-05 Carl Zeiss SMT AG A double-facetted illumination system with attenuator elements on the pupil facet mirror
US7934172B2 (en) * 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US20080158529A1 (en) 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101440762B1 (ko) * 2007-02-06 2014-09-17 칼 짜이스 에스엠테 게엠베하 마이크로리소그래피 투영 노광 장치의 조명 시스템 내의 다수의 미러 어레이들을 감시하는 방법 및 장치
US9250536B2 (en) * 2007-03-30 2016-02-02 Asml Netherlands B.V. Lithographic apparatus and method
JP5326259B2 (ja) 2007-11-08 2013-10-30 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法

Also Published As

Publication number Publication date
CN102695988B (zh) 2015-09-02
US20120262689A1 (en) 2012-10-18
TW201128322A (en) 2011-08-16
TWI616724B (zh) 2018-03-01
US9052605B2 (en) 2015-06-09
NL2005724A (en) 2011-06-27
CN102695988A (zh) 2012-09-26
JP2013516055A (ja) 2013-05-09
KR101795610B1 (ko) 2017-11-08
WO2011076500A1 (en) 2011-06-30
JP5775530B2 (ja) 2015-09-09

Similar Documents

Publication Publication Date Title
KR101795610B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
TWI420257B (zh) 微影裝置及元件製造方法
US20120262690A1 (en) Illumination system, lithographic apparatus and illumination method
KR20120052386A (ko) 조명 시스템, 리소그래피 장치, 및 조명 모드를 조정하는 방법
JP5650670B2 (ja) 照明システム、リソグラフィ装置および照明モードを形成する方法
JP2015519009A (ja) ファセットミラー
JP2015519009A5 (ko)
US11448971B2 (en) Optical maskless
JP2006114914A (ja) リソグラフィ・システム、リソグラフィ・システム内の光路の透過特性を調整するための方法、半導体デバイス、リソグラフィ・システムに使用するための反射エレメントを製造する方法、およびそれによって製造される反射エレメント
US20240160110A1 (en) Systems and methods for distributing light delivery
JP2012099686A (ja) 光源形成方法、露光方法、及びデバイス製造方法
JP4495104B2 (ja) 可変式照明源
WO2023001536A1 (en) Systems and methods for distributing light delivery
JP2022524206A (ja) リソグラフィ装置、計測装置、光学システムおよび方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant