JP5679236B2 - パーティションを設けたスキャン・チェーンを備えた集積回路のスキャンテストにおける向上した制御 - Google Patents

パーティションを設けたスキャン・チェーンを備えた集積回路のスキャンテストにおける向上した制御 Download PDF

Info

Publication number
JP5679236B2
JP5679236B2 JP2012535422A JP2012535422A JP5679236B2 JP 5679236 B2 JP5679236 B2 JP 5679236B2 JP 2012535422 A JP2012535422 A JP 2012535422A JP 2012535422 A JP2012535422 A JP 2012535422A JP 5679236 B2 JP5679236 B2 JP 5679236B2
Authority
JP
Japan
Prior art keywords
input
output
register
scan
partition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012535422A
Other languages
English (en)
Other versions
JP2013508731A (ja
JP2013508731A5 (ja
Inventor
ディー ヘールズ アラン
ディー ヘールズ アラン
ケイ ナキディ スルジャン
ケイ ナキディ スルジャン
エイ パレクージ ルビン
エイ パレクージ ルビン
ラヴィ スリバス
ラヴィ スリバス
ケイ ティワリ ラジェッシュ
ケイ ティワリ ラジェッシュ
Original Assignee
日本テキサス・インスツルメンツ株式会社
テキサス インスツルメンツ インコーポレイテッド
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本テキサス・インスツルメンツ株式会社, テキサス インスツルメンツ インコーポレイテッド, テキサス インスツルメンツ インコーポレイテッド filed Critical 日本テキサス・インスツルメンツ株式会社
Publication of JP2013508731A publication Critical patent/JP2013508731A/ja
Publication of JP2013508731A5 publication Critical patent/JP2013508731A5/ja
Application granted granted Critical
Publication of JP5679236B2 publication Critical patent/JP5679236B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Description

本開示の実施例は、全般的に集積回路のテストに関し、更に具体的には、パーティションを設けた(partitioned)スキャン・チェーンを備えた集積回路のスキャンテストにおける向上した制御を提供することに関連する。
スキャンに基づくテスト(スキャンテスト)は、集積回路(IC)をテストするためしばしば実行される。スキャンテストとは、一般に、IC内のストレージ要素(例えば、フリップフロップ)がスキャン・チェーンとして接続され、IC上に提供される入力テスト・ピンを介してテスト・ベクトルがスキャン・チェーンにシフトインされ、ICは評価モード(キャプチャ位相)に置かれてこれらの入力を評価させ、このキャプチャ・サイクルで得られた対応する応答ベクトルが出力テスト・ピンを介してシフトアウトされる、というテスト・アプローチを指す。応答ベクトルのビット値は、IC内の如何なる故障状態をも判定するため、予期される出力と比較される。
ICは、パーティションを設けたスキャン・チェーンを備えて設計されることが多く、これは、パーティションを設けた各スキャン・チェーンが、対応するテスト・ベクトルを受信するためにスキャン・チェーンとして機能し得る対応する一連のストレージ要素を含むことを含意する。パーティションを設けたスキャン・チェーンは、関連する業界で周知であるように、たとえば、所望の離隔されたパーティションセットのテストが容易であることや、異なる周波数、電源管理等での異なるパーティションの運用性などの理由で用いられる。
対応する環境に適したテストが実行され得るように、このような環境において更なる制御が提供されることが全般的に望ましい。
課題を達成するための手段
パーティションを設けたスキャン・チェーンを備えた集積回路(IC)に実装されるテスト・コントローラが、スキャンテストを実行する際に向上した制御を提供する。1つの側面に従って、テスト・コントローラは、独立しているべき、ICの異なるスキャン・チェーンに対してスキャン期間を選択的に制御できる。独立性は、同じテストに対し、或るパーティションのスキャン期間(期間の開始及び長さ)を、別のパーティションのスキャン期間と関連することなく選択する能力に現れる。これは、任意の2つのスキャン期間が、特定の状況に適合するように重なるか、或いは重ならないかのいずれかであってよいことを意味する。テスト・コントローラが外部テスターとインタフェースするために必要なピンの数は、そのテスト・コントローラがサポートし得るパーティションの数より少ない。
別の側面に従って、ICが、各スキャン・チェーンが独立してスキャンされ得るとき遷移故障(又はLOS)テストをサポートするため、各パーティションに対応するビットを有するレジスタを含む。このレジスタは、第1のスキャン・チェーンにスキャンインされ、第2のスキャン・チェーンにスキャンインされる第2のベクトルの最終ビットと共に供給される、第1のベクトルの最終ビットをストアするために用いられる。この回路は、LOSテストを実行するため、これらの2つの最終ビットを(それぞれのチェーンに)スキャンインした後すぐに評価される。レジスタは、LOSテストが多数のスキャン・チェーンに関連してサポートされ得るように、多数のスキャン・チェーンの最終ビットをストアするために多数のビットを含んでいてもよい。
更に別の側面に従って、パーティションを設けたスキャン・チェーンを備えたICが、シリアル−パラレル変換器(SPC)及びパラレル−シリアル変換器(PSC)を含み、それにより、スキャンテストをサポートするために必要とされる外部ピンを最小限に抑える。一実施例において、多数の小さなデータ・ユニットを連結して大きなユニットを形成するSPCに小さなデータ・ユニットが高い周波数で供給され、その後、それらのビットが、対応するスキャン・チェーンにスキャンインされる。これにより、IC上の、外部テスターからビットを受信するためのピンの数が低減される。更に、逆のロジックをPSCに用いて、スキャンアウトされたデータを送信するためのピンの数を低減することもできる。本発明の幾つかの側面を幾つかの例を参照して以下に示す。
本発明をよく理解するために多数の特定の細部、関係、及び方法を示すことを理解されたい。しかし、当業者であれば、本発明は、1つ又はそれ以上の特定の細部なしに、又は他の方法などを用いて実施され得ることが容易に理解されるであろう。他の例では、本発明の特徴を曖昧にすること避けるため、周知の構造又はオペレーションは詳細には示していない。
図1は、本発明の幾つかの特徴が実装され得る例示の環境のブロック図である。
図2は、本発明の一実施例において多数のスキャン・パーティションを備えたICを図示するブロック図である。
図3は、本発明の一実施例において、パーティションを設けたスキャン・チェーンを備えたICに実装されるパーティション・セレクタ回路のブロック図である。
図4Aは、本発明の一実施例における、ICのスキャンテスト中の波形を示すタイミング図である。 図4Bは、本発明の一実施例における、ICのスキャンテスト中の波形を示すタイミング図である。
図5は、本発明の一実施例におけるテスト・コントローラのブロック図である。
図6は、本発明の一実施例において、ATPGツールに入力として供給される、ICの設計情報を示す図である。
図7は、一実施例においてATPGツールによって生成される例示のテスト・ベクトル/ビットを示す図である。
図8Aは、一実施例において、LOC(launch off capture)テストが実行され得る方式を図示する例示のタイミング図である。 図8Bは、一実施例において、LOC(launch off capture)テストが実行され得る方式を図示する例示のタイミング図である。
図9は、本発明の一実施例において、遷移故障テストをサポートするICのブロック図である。
図10Aは、LOS(launch off shift)テストのための例示のテストシナリオを図示する簡略化したブロック図である。
図10Bは、一実施例において、ローンチ及びキャプチャ・パルスが印加されるパーティションを特定する、例示の表を示す図である。
図10Cは、図10Bの表に列挙したテストシナリオに対応するタイミング図である。 図10Dは、図10Bの表に列挙したテストシナリオに対応するタイミング図である。 図10Eは、図10Bの表に列挙したテストシナリオに対応するタイミング図である。 図10Fは、図10Bの表に列挙したテストシナリオに対応するタイミング図である。 図10Gは、図10Bの表に列挙したテストシナリオに対応するタイミング図である。
図11は、一実施例において、スキャンテスト用のテスト・ピンの数が低減されたICのブロック図である。
図12は、本発明の一実施例において、シリアル−パラレル変換器が実装される方式を図示するブロック図である。
図13は、本発明の一実施例において、パラレル−シリアル変換器が実装される方式を図示するブロック図である。
種々の実施例を例証のための幾つかの例と共に以下に説明する。
1.例示の環境
図1は、本発明の幾つかの特徴が組み込まれ得る例示の環境のブロック図である。この図は、テスター110及びIC120を含むよう示されている。IC120(これはシステム・オン・チップ/SoCであり得る)は、ディコンプレッサー130、スキャン・チェーン140、及び圧縮器150を含むよう示されている。簡潔にするため、図1では単一のICのみを示している。しかし、テスター110を用いて多数のICを同時にテストすることも可能である。
テスター110は、圧縮された形式のテスト・ベクトルをIC120内のディコンプレッサー130への経路112(SI)に供給し、テストのキャプチャ結果を表す応答ベクトルを圧縮された形式で圧縮器150からの経路121(SO)で受信する。テスター110は、IC120内の故障を判定するため、応答ベクトルのビット値を予期される値と比較し得る。テスター110は、経路170にスキャン・イネーブル信号(SE)を、経路160(CLK)を介してIC120へ1つ又はそれ以上のクロックを、スキャンテスト中のIC120のオペレーションを調整するクロックと共に供給する。テスター110は、経路181を介し、IC120内のパーティションの数を特定する等のため、クロックの構成を特定し、IC120内に制御信号を生成するための、構成データを供給してもよい。
ディコンプレッサー130は、経路112(SI)で受信したテスト・ベクトルを伸張し(decompress)、圧縮されていない形式のテスト・ベクトルを経路134を介してスキャン・チェーン140へ供給する。圧縮器150が、経路145で受信した応答ベクトルを圧縮し、対応する圧縮された応答ベクトルを経路121(SO)を介してテスター110へ供給する。ディコンプレッサー及び圧縮器モジュールは、関連する業界で周知であるように、組み合わせ回路及び/又は順次的(sequential)回路に基づく種々のアプローチを用いて実装され得る。
スキャン・チェーン140は、IC120のフリップフロップ要素を含み、これらの要素をスキャンに基づくアプローチを用いてテストすることが求められている。これらのメモリ要素は、1つ又はそれ以上のスキャン・チェーン(これは、例えば、パーティションを設けたスキャン・チェーンとして実装され得る)として機能するように設計され得、各スキャン・チェーンは、テスト・ベクトルのシフトイン及び応答ベクトルのシフトアウトの間シフト・レジスタとして接続されるストレージ要素を含む。応答ベクトルは、テスト・ベクトルのシフトインが完了するとテスト対象となる組み合わせ論理(キャプチャ・サイクル中)の評価の結果(応答ビット)を含む。
簡潔にするため図示しないが、IC120は、種々の他の要素、例えば、組み合わせ論理要素も含み、これらは、スキャン・チェーン140内のメモリ要素と組み合わさり、IC120に企図される効用を提供するよう機能する。また、IC120は、スキャンテスト・オペレーションを調整する際に内部で用いられ、スキャン・チェーン140内のストレージ要素に供給される、種々のクロック及び制御信号を生成するための、対応する回路(例えば、テスト・コントローラ)を含んでいてもよい。これらのクロックは、テスター110から受信したクロック160(CLK)に基づいて生成され得る。経路SI及びSOは、多数の信号ライン(各ラインは所定の時間に単一ビットを送信する)を含み/表し得、従って、IC120は、これらの信号ラインに接続するための、SI及びSOで図示する、対応する数のピンを含んでいてもよいことに注意されたい。
本発明の幾つかの特徴により、パーティションを設けたスキャン・チェーンを備えた集積回路のスキャンテストにおいて向上した制御が可能となる。従って、例示の集積回路の詳細は、以下に説明するスキャン・チェーンを含む。
2.集積回路
図2は、一実施例におけるICの詳細を図示するブロック図である。IC200は、図1のIC120の代わりに用いることができ、ディコンプレッサー210Aから210N、パーティション220Aから220N、圧縮器230Aから230N、マルチプレクサ(MUX)250、及びテスト・コントローラ270を含むよう示されている。
ディコンプレッサー210Aから210Nの各々は、信号ライン112(SI)を介して受信した圧縮されたテスト・ベクトルを伸張し、伸張されたベクトルを対応するパーティション内のスキャン・チェーンに供給する。経路112(SI)は、多数のスキャンイン経路(信号ライン/データ経路)を表し、これらの幾つか又は全ては、例えば、各パーティション220A〜220N内のスキャン・チェーンの数に基づいて、ディコンプレッサー210Aから210Nの各々に供給され得る。このため、例えば、経路112(SI)は、16個の個別の信号ライン/データ経路を含み得、一方、ディコンプレッサー210A〜210Nはそれぞれ16個全てのスキャンイン経路へ又は16より少ない数のスキャンイン経路へ接続され得る。即ち、(それぞれのディコンプレッサー210A〜210Nに接続される)スキャンイン経路201A〜201Nの各々は、16ビット幅であってもよく又はそれより小さいビット幅であってもよい。また、1つのディコンプレッサーに接続されるスキャンイン経路の数は、別のディコンプレッサーに接続されるスキャンイン経路の数とは異なっていてよく、従って、1つのディコンプレッサーが受信する(同時)入力ベクトルの数は、別のディコンプレッサーが受信する(同時)入力ベクトルの数とは異なっていてよい。
パーティション220Aから220Nは各々、ストレージ要素で形成される1つ又はそれ以上のスキャン・チェーンを含み得、各パーティション内のスキャン・チェーンは典型的に、対応するクロックで動作し得る。これらのクロックは、互いに対してすべて同期していてもよく、又は互いに対し異なる位相/周波数関係を有していてもよい。このため、パーティション220A〜220N内のスキャン・チェーン要素は、それぞれのクロック221A(CLKA)から221N(CLKN)でクロックされて示されている。一実施例において、クロック221A〜221Nは互いに対して同期される。一般に、パーティション(これらは、多数のスキャン・チェーンを含み得る)の各々は、単一のスキャン・チェーンとしてみることができる。
パーティションの各々は、「パーティション間経路」を介して他のパーティションからデータ入力を受信し得る。各パーティション間経路は、間にある任意の組み合わせ論理を用いて、1つのパーティション内のメモリ要素(レジスタ、フリップフロップなど)から他のパーティション内のメモリ要素へデータ値を送信する。この組み合わせ論理は、図2で示す論理経路/矢印内に含まれているとみることができる。例えば、パーティション220Aは、1つ又はそれ以上のデータ入力を経路222Bを介してパーティション220Bから受信し得、1つ又はそれ以上のデータ入力を経路222Aでパーティション220Bへ供給し得る。図示しないが、このようなパーティション間データ経路は、他のパーティションペア間にも存在し得る。また、経路222Bで供給されるデータ入力は、220A内のメモリ要素によって更に評価/処理されて、そのデータ出力が経路222Aで供給されてもよい。
スキャンに基づくテストの間、パーティションの各々において、メモリ/ストレージ要素(例えば、フリップフロップ)を用いて1つ又はそれ以上のスキャン・チェーンが形成され得る。説明し易くするため、それぞれ1つのスキャン・チェーンのみ(パーティション220A内のスキャン・チェーン220A−1、パーティション220B内のスキャン・チェーン220B−1、及びパーティション220N内のスキャン・チェーン220N−1)を図2に示す。しかし、各パーティションが多数のスキャン・チェーンを含んでいてもよい。一般に、パーティションとは、定義された/特定の機能(例えば、ALU、USBコントローラがそれぞれの機能ブロックとして供給されてもよい)を提供するICの一部を指す。
スキャン・チェーン220A−1は、伸張されたテスト・ベクトルを経路212Aで受信し、経路223Aで応答ベクトルを提供する。同様に、スキャン・チェーン220B−1は、伸張されたテスト・ベクトルを経路212Bで受信し、経路223Bで応答ベクトルを提供する。パーティション220A内だけでなく、パーティション220Bから220N内の他のスキャン・チェーンも対応して同様の方式で機能する。
圧縮器230Aから230Nは、それぞれのパーティション220Aから220N(内のスキャン・チェーン)から応答ベクトルを受信し、これらの応答ベクトルを圧縮し、コンパクトにされ/圧縮された応答ベクトルをそれぞれの「スキャンアウト」経路235Aから235Nを介して供給する。経路235A〜235Nは、各々多数の経路を含んでいてよく、一実施例ではそれぞれスキャンイン経路201A〜201N内の経路と同じ数の経路を含み得る。
MUX250は、選択信号251の値に基づいて、入力235A〜235Nの1つをテスト出力121(SO)で供給する。このため、経路121(SO)は多数の出力信号ラインを表し得る。
ディコンプレッサーでの伸張及び圧縮器での圧縮は、幾つかの周知の手法のうち任意のものを用いて成され得る。一実施例において、ディコンプレッサー210A〜210N及び圧縮器230A〜230Nは、組み合わせ要素を用いて実装される。しかし、他の実施例において、ディコンプレッサー及び圧縮器は、順次的(クロックされた)要素、又は組み合わせ要素と順次的要素との組み合わせを用いて実装されてもよい。
IC200は、ディコンプレッサー及び圧縮器を含むように上述したが、ディコンプレッサー及び圧縮器の実装は、テスト・ベクトルを提供する外部デバイス(例えば、テスター)のストレージ要件を低減するため、テスト適用時間及びそれによりテスト・コストを低減するため、テスター・ピンの数を低減するため、などの理由のためにのみ必要とされる可能性があることにも注意されたい。本発明の幾つかの特徴は、ディコンプレッサー及び圧縮器を備えずにICに実装されてもよい。
テスト・コントローラ270は、テスター(例えば、テスター110)からマスター・クロック160(CLK)及びマスター・スキャン・イネーブルSE(170)を受信し、パーティション220A〜220Nの各々に対しクロック及びスキャン・イネーブル信号を生成する。以下に詳細に説明するように、テスト・コントローラ270は、経路278に含まれるとみなされる、クロック信号(221A〜221N)及びスキャン・イネーブル信号(222A〜222N)を生成する際に向上した制御を提供する。テスト・コントローラ270は、MUX250に供給される選択信号251を生成する。テスト・コントローラ270は、テスターによって供給される構成データを経路181で受信し得る。
テスト・コントローラ270は、パーティションを設けたスキャン・チェーン(即ち、異なるパーティション220A〜220N内のスキャン・チェーン)内でスキャンに基づくテストを実行しつつ、向上した制御を提供する。このような向上した制御には、幾つかのパーティションへのテスト・ベクトルのスキャンインが含まれるが、幾つかの他のパーティションへのテスト・ベクトルのスキャンイン、全てのパーティションへの又は幾つかのパーティションのみへの並列のテスト・ベクトルのスキャンインなどは含まれない。本発明の一つの側面に従って、テスト・コントローラ270は、このような向上した制御を提供するが、イネーブルされる/ディセーブルされるべき特定のパーティションを特定するテスター(例えば、テスター110)とインタフェースするために含まれる制御ピンが一層少なく、前記制御ピンは、それが制御するパーティションの総数(より少ない数)の任意のサブセットであり得る。一実施例におけるこのような回路を図示して説明を続ける。
3.パーティション・セレクタ
図3は、本発明の一実施例において、パーティションを設けたスキャン・チェーンを備えたIC(のテスト・コントローラ270)に実装されるパーティション・セレクタ回路のブロック図である。図3のパーティション・セレクタ300は、2つのパーティションに対応する構成要素/ブロックのみしか図示していないが、4つのパーティションP1からP4に対しパーティション選択(パーティション・イネーブル/ディセーブル)信号を生成するように実装されると仮定する。また、本明細書の開示を読めば当業者には明らかであるが、図3に示すブロック/構成要素の適切な構成及び/又は反復により、4つより多い又は少ないパーティションもサポートされ得る。
ブロック395及び396はそれぞれ、パーティションP1及びP2に対し対応する選択信号381(P1_s)及び382(P2_s)を生成する。それぞれ、パーティションP3及びP4に対する選択信号の生成のための、ブロック396と同様のまたは同一の更に2つのブロックは、図示しないが存在すると仮定され、パーティションP3及びP4に対しそれぞれの選択信号383(P3_s)及び384(P4_s)を生成する。395、396などのブロック、及びパーティションP3及びP4に対応するブロックは、ステージと呼ばれる。
遅延レジスタ309及び312、カウント・レジスタ311及び313、及びバイパス・レジスタ318は、IEEE1149.1標準JTAG規格に従ってIC200のバウンダリスキャン・レジスタ303の一部を形成する。バウンダリスキャン・レジスタ303は、4つのパーティションの各々に対する遅延レジスタ及びカウント・レジスタ(例えば、第2のパーティションに対する遅延レジスタ312及びカウント・レジスタ313)と、パーティションP1を除く全てのパーティションに対するバイパス・レジスタとを含むように構成される。
以下の段落に説明するように、カウント・レジスタはスキャン期間の長さを特定し、遅延レジスタは開始信号に対する遅延を特定する。第1のステージ用の開始信号はテスター110から(信号305として)受信するが、後に続くステージでは、開始信号は対応するバイパス・レジスタの値によって決まる。バイパス・レジスタによって供給される値は、ステージの開始信号が、前のステージの出力によって供給されるか、或いは直接テスター110からの信号305によって供給されるかを特定する。これらのレジスタで設定される値の効果は、各パーティションのスキャン開始及びスキャン期間が、バウンダリスキャン・レジスタ303内の各レジスタの(テスター110による)適切なプログラミングによって独立して制御され得ることである。
バウンダリスキャン・レジスタ303は、外部テスターから、IC200のピンTDI(301)を介してデジタル値をシリアルに受信する。カウント・レジスタ、遅延レジスタ、及びバイパス・レジスタにストアされたデータ値は、関連するパーティション内のスキャン・チェーンがスキャン・モードに置かれる、対応する特定の期間を示すデジタル・データとしてみることができる。図3のアプローチに従った構成は、出力ピンTDO(302)の利用を必要としないが、種々のデータを観測し易くするため、ピンTDO(302)を(オプションで)用いることができる。例えば、バウンダリスキャン・レジスタ303の一部にプログラムされたデータ値(TDIを介して成される)をTDO(302)でチェックすることが可能である。
バウンダリスキャン・レジスタ303内のレジスタは、(テスター上の)単一のピンが、各タイム・インスタンス(又は対応するクロック周期)にスキャンされる特定のパーティションを制御するデータビットを供給するために用いることができるように、直列に接続されて示されている。しかし、テスター110からのより多くのピン/経路を用いるために代替のアプローチが用いられ得るが、このようなピン/経路の数は制御されるべきパーティションの数よりも少ない。幾つかのこのようなアプローチは、本明細書の開示を読めば本発明の幾つかの側面の範囲及び趣旨から逸脱することなく当業者には明らかとなるであろう。
ブロック395は、遅延レジスタ309、カウント・レジスタ311、カウンタ310、コンパレータ320、遅延要素360、インバータ375、及びANDゲート370を含む。同様にブロック396は、遅延レジスタ312、カウント・レジスタ313、カウンタ330、コンパレータ340、MUX355、遅延要素350、インバータ385、及びANDゲート380を含む。信号305(グローバル開始)も外部テスターによって供給される。簡潔にするため、ここではブロック396についてのみ説明するが、これらの説明はブロック395の同様の要素にも適用できる。
マルチプレクサ355は、バイパス・レジスタ318の値に基づいてローカル開始信号としてグローバル開始信号305か又は前のブロック395のスキャン終了信号328のいずれかを選択する。このように、第1のパーティション以外の各パーティションのスキャンの開始は、前のパーティションのスキャンの終了か又はグローバル開始305に関連して制御され得る。遅延要素350は、遅延レジスタ312によって特定される期間だけローカル開始信号を遅延させ、遅延された信号をANDゲート380及びカウンタ330の両方に送信する。
カウンタ330は、遅延された信号353の立ち上がりエッジの受信から始まるカウントを(0から)開始し、カウント・レジスタ313及びカウンタ330の値が等しいときコンパレータ340は、そのスキャンサイクルの終了を示す。インバータ385は、信号348の論理レベルを反転させる。ANDゲート380は、インバータ385の出力及び信号353を受信し、信号353及び信号348の反転のANDオペレーションにより、第2のパーティションに対するスキャン・イネーブル信号P2_sを生成する。図3の回路のオペレーションを、図4A及び4Bのタイミング図に関連して以下に更に詳細に説明する。
4.パーティション・スキャン・イネーブルのタイミング図
図4Aは、テスト・ベクトルの順次的(重ならない)スキャンインが4つのパーティションP1〜P4に対して実行され得る様子を示す波形である。遅延レジスタ309はゼロ遅延値でロードされると仮定する。カウント・レジスタ311及び313、及び遅延レジスタ312は(対応するパーティションP3及びP4に対する遅延レジスタ及びカウント・レジスタも同様に)、所望のカウント値でロードされると仮定する。全てのバイパス・レジスタ(例えば、318)は、論理ハイ値でロードされる。これは、スキャン・オペレーションが前のパーティションのスキャンの終了に続いて開始することを意味する。
信号305(グローバル開始)は、論理ハイにアサートされ、ゼロ遅延で遅延要素360によって送られる。そのため、タイム・インスタンスt411で信号361は論理ハイとなり、カウンタ310にカウントを開始させる。コンパレータ320は、経路312及び321上のカウント値を比較する。タイム・インスタンスt412で、カウンタ310のカウント値312は、カウント・レジスタ311で初期化されたカウント値に等しくなり、コンパレータ320は、経路328に論理ハイを供給する。ANDゲート370は、(インバータ375から)信号328の論理反転だけでなく信号361を受信し、期間t411〜t412で出力381(P1_s)に論理ハイを生成する。このため、期間t411〜t412は、テスト・ベクトルがパーティションP1にスキャンインされる期間を表し、これは、カウント・レジスタ311のカウント値によって決まる(等しい)。
経路328の論理ハイ遷移は、MUX355によって(論理ハイであるバイパス・レジスタ318からの選択信号のため)経路365に送られ、その後、タイム・インスタンスt413に(経路353で)遅延要素350によって論理ハイ遷移として送られる。遅延要素350は、遅延レジスタ312から受信した遅延カウントに基づいて経路352に遅延t413〜t412を生成する。
タイム・インスタンスt413で論理ハイにアサートされている信号353は、カウンタ330にカウントを開始させる。コンパレータ340は、経路334及び341のカウント値を比較する。タイム・インスタンスt414で、カウンタ330のカウント値334は、カウント・レジスタ313で初期化されたカウント値(経路341)に等しく、コンパレータ340は経路348に論理ハイを供給する。ANDゲート380は、(インバータ385から)信号348の論理反転だけでなく信号353を受信し、期間t413〜t414に出力382(P2_s)に論理ハイを生成する。このため、期間t413〜t414はテスト・ベクトルがパーティションP2にスキャンインされる期間を表し、これは、カウント・レジスタ313のカウント値によって決まる(等しい)。
経路348の論理ハイ遷移は次のステージに送られ、P3及びP4に対応するステージのオペレーションは、ステージ/ブロック395及び396に関連して上述したものに類似する。図4Aの信号401及び403は、信号361及び353にそれぞれ対応するが、それぞれパーティションP3及びP4に対する信号を表す。同様に、信号402及び404は、信号328及び348にそれぞれ対応するが、それぞれパーティションP3及びP4に対する信号を表す。信号383(P3_s)及び384(P4_s)は、パーティションP3及びP4に対して生成され、図4Aに示すような選択信号を表す。
図4Bは、パーティションP1及びP2に対するテスト・ベクトルのスキャンイン位相が時間的に重なるが、パーティションP3及びP4のスキャンイン位相は順次的である(重ならない)様子を示す波形である。遅延レジスタ309及び312はゼロ遅延値でロードされると仮定する。カウント・レジスタ311及び313及び遅延レジスタ312は(対応するパーティションP3及びP4に対する遅延レジスタ及びカウント・レジスタも同様に)、所望のカウント値でロードされると仮定する。MUX355の選択入力は、バイパス・レジスタ318によって(図4Aの波形に対応する論理ハイではなく)論理ローとして供給される。しかし、パーティションP3及びP4に対応するマルチプレクサの選択入力は、論理ハイとして供給される。
遅延レジスタ309及び312がゼロ遅延カウントを有するため、カウンタ310及び330は、図4Bのタイム・インスタンスt421で論理ハイにアサートされる信号361及び353の両方で示すように、同時にカウントを開始する。
コンパレータ320は、経路312及び321のカウント値を比較する。時間期間t422で、カウント・レジスタ310のカウント値312は、カウント・レジスタ311で初期化されたカウント値に等しく、コンパレータ320は、経路328に論理ハイを供給する。ANDゲート370は、期間t421〜t422で出力381(P1_s)に論理ハイを生成する。このため、期間t421〜t422は、テスト・ベクトルがパーティションP1にスキャンインされる期間を表し、これは、カウント・レジスタ311のカウント値によって決まる(等しい)。
コンパレータ340は、経路334及び341のカウント値を比較する。時間期間t423で、カウンタ330のカウント値334は、カウント・レジスタ313で初期化された(経路341の)カウント値に等しく、コンパレータ340は、経路348で論理ハイを供給する。ANDゲート380は、期間t421〜t423で、出力382(P2_s)に論理ハイを生成する。このため、期間t421〜t423は、テスト・ベクトルがパーティションP2にスキャンインされる期間を表し、これは、カウント・レジスタ313のカウント値によって決まる(等しい)。図4Bに示すように、パーティションP3に対応するステージのカウントの開始は、ステージ/ブロック396の出力348によってトリガーされ、パーティションP4に対応するステージのカウントの開始はパーティションP3に対応するステージの対応する出力によってトリガーされるため、経路348の論理ハイ遷移は、次のステージに送られ、信号401〜404、及び選択信号383(P3_s)及び384(P4_s)は、順次的であり重ならない方式で生成される。
上述の説明から、本発明の側面に従ってスキャンテストにおける向上した制御が供給されることが分かるであろう。例えば、パーティション1及び2のテスト・ベクトルのスキャンイン位相は、図4Bの例において実質的に重なるが、図4Aの例ではパーティション1及び2のスキャンイン位相は重ならない。パーティションの各々に対応する、カウント・レジスタ、遅延カウント・レジスタ、及びMUX選択(バイパス・レジスタ)に対して適切な値を選択及び提供することにより、パーティションの各々の順次的位相及び重なる位相の候補となり得るあらゆる組み合わせを達成できることも理解され得るであろう。一般に、各パーティションは上述で提供される制御のため、特定の所望の期間に、独立して(即ち、別のパーティションのスキャン期間とは独立して)スキャンされ得る。
例えば、遅延レジスタ309に大きな値をプログラミングし、更に、MUX355の選択を論理ローとすることにより、P2_s(382)がP1_s(381)より早く開始するように生成され得る。また、カウント・レジスタ311及び313の値を適切に選択することにより、P2_s(382)の論理ハイ期間が、P1_s(381)の論理ハイ期間前であり、それと重ならないように(又は、部分的に重なるように)、生じるように設計され得る。
また、同様の向上した制御は、パーティションの各々のキャプチャ位相及びスキャンアウト位相とは独立して供給され得る。このような向上した制御は、電力消散/消費を最小化するために用いられ得る。例えば、スキャンテスト中の電力消費を推定するために計算が成され得、電力消費が所望の制限値を超えると判定された場合、スキャンイン、キャプチャ、及び/又はスキャンアウトは、並列にではなく順次的に成され得る。
図3のアプローチで必要とされる、外部テスターとインタフェースするべきピンの数(図3の例示の実施例では3つ)が、制御され得るパーティションの数よりも少ないことも理解されたい。図3のアプローチは、外部テスターとインタフェースするために必要とされるピンの数をパーティションの数に関連して増加させることなく、更に多くの数のパーティションをサポートできることに注意されたい。
図3の回路に類似する回路は、シフトイン位相について上述した選択信号とは独立して、パーティションP1〜P4の各々に対するスキャンテストのキャプチャ位相及びシフトアウト位相をイネーブルにするための選択信号の生成のために再現され得ることに注意されたい。説明し易くするため、テスト・ベクトルのシフトイン(又はスキャンイン)位相のみについて図3の回路のオペレーションを、図4A及び4Bのタイミング図に関連して以下に説明する。しかし、本明細書の開示を読めば当業者には明らかであろうが、同様の制御は、キャプチャ及びシフトアウト(スキャンアウト)位相に対しても独立して提供され得る。図3の回路のレジスタ及びカウンタは、オペレーション前に適切な所望の値で初期化されると仮定する。
パーティション・セレクタ300によって生成されるパーティション選択信号は、以下に図示するように、パーティションの各々に供給される対応するクロック及びスキャン・イネーブル信号を生成するように、外部テスター(テスター110)によって供給されるマスター・クロック及びマスター・スキャン・イネーブル信号でゲートされる。
5.テスト・コントローラ
図5は、本発明の一実施例におけるテスト・コントローラのブロック図である。テスト・コントローラ500(図2でも270で示す)は、パーティション・セレクタ300、ANDゲート520A〜520D及び530A〜530D、及びレジスタ540A〜540Dを含むよう示されている。これは、各パーティションを制御するために用いられる1つの候補となり得る実施例であるが、他の実施例が、(テスト・コントローラを用いるのではなく)デバイス・ピンから直接情報を提供すること、又はデバイス・ピンとテスト・コントローラとの間の制御を配分することを含んでいてもよい。
また、テスト・コントローラ500が4つのパーティションをサポートするよう実装されて示されているが、一層多くの又は少ないパーティションに同様の手法が用いられてもよい。図示していないが、テスト・コントローラ500は、スキャンテストの他の位相(キャプチャ、スキャンアウトなど)のためのパーティション・クロック及びスキャン・イネーブル信号の独立した制御のための、パーティション・セレクタ300に類似する回路ブロックも含むように設計されてもよい。
経路501は、図3の経路301、302、及び305を含むと考えられ、図1の経路181に含まれると考えられる。マスター・クロック160(CLK)は、(パーティション・セレクタ300によって生成される)イネーブル信号381、382、383、及び384と論理積がとられ、4つのパーティションそれぞれに対して、パーティション・クロック521A、521B、521C、及び521Dを生成する。
マスター・スキャン・イネーブル170(SE)は、イネーブル信号381、382、383、及び384と論理積がとられ、4つのパーティションそれぞれにスキャン・イネーブル信号531A、531B、531C、及び531Dを生成する。レジスタ540A〜540Dに(ピン540を介して)シフトインされた論理ビットは、以下に詳細に説明する、(遷移故障テストのため)図9の回路で用いられるマルチプレクサの選択信号として供給される。
本発明の側面に従って実装されるIC(例えば、図2の回路に対して)において用いるためにテスト・ベクトルが生成される様子を以下に説明する。
6.テスト・ベクトル生成
図6は、ATPG(Automatic Test Pattern Generation)ツールへの入力として供給される、IC200の設計情報を示す図である。図6では回路図として示すが、ATPGツールに供給される設計情報は、図6の構造に対応する(構成要素、相互接続、及び構成要素/相互接続の特性を特定する)ネットリストである。ここでも、説明し易くするため、IC200は、それぞれ「N」個のチェーン(220A−1から220A−N、及び220B−1から220B−N)を含むよう示された、2つのパーティション220A及び220Bのみを含むと仮定する。
これらのチェーンの各々は4つのフリップフロップを含むように示され、これらのフリップフロップは、チェーン220A−1内で(F11からF14に)分類して示される。しかし、一般に、ネットリストは、IC200(図2)の実際の構造と同じくらい多くのパーティション及び構成要素の細部を含み得る。パーティション220A及び220Bの回路構造に関連する全ての情報は、図5に示した制御構造(テスト・コントローラ500)と共に供給される。
このように供給される情報に基づいて、ATPGツールは、パーティションの各々をテストするためテスト・ベクトルを生成し、これらはその後、それらのテスト実行の間、所望の方式で(図4A及び4Bに関して説明したように、重なって又は重ならずに)供給され得る。一実施例において生成されるテスト・ベクトル/ビットを図7に示す。図7では、テスト・ビット(700)は、ビットP11からP14、P21からP24、P31からP34、P41からP44、P51からP54、P61からP64、P71からP74、及びP81からP84を含むよう示されている。その後、テスター110は、以下に簡単に示すように、IC200をテストするようにプログラムされ得る。テスター110の幾つかの例は、エルティーエックス・コーポレーションのFUSION(商標)テスター、テキサス・インスツルメンツのVLCT(商標)テスター、及びヴェリジーのOCELOT(商標)テスターなどである。
テスト・ベクトルを2つのパーティション220A及び220Bに順次的にシフトインする(例えば、パーティション220Aへシフトインした後、パーティション220Bへのシフトインが続く)ことが望ましいと仮定すると、ビットP14、P24、P34、及びP44が、1つのクロック・サイクルでパーティション220Aにシフトインされ、その後続くクロック・サイクルでビット(P13、P23、P33、及びP43)、(P12、P22、P32、及びP42)、及び(P11、P21、P31、及びP41)が続く。ビット(P54、P64、P74、及びP84)、(P53、P63、P73、及びP83)、(P52、P62、P72、及びP82)、及び(P51、P61、P71、及びP81)は、その後、対応する後続のクロック・サイクルでパーティション220Bにシフトインされる。
上述のようにシフトインが完了すると、(この場合も、キャプチャ位相のための対応するパーティション・イネーブル信号に基づいて、同時に又は重ならない方式のいずれかで)キャプチャ・サイクルが生成され、組み合わせ論理回路の応答ビットがキャプチャされ、シフトアウトされる。この場合も、このシフトアウトは、並列に又は重ならない方式で実行され得る。こうして生成されたテスト・ベクトルに基づく一実施例において、LOC(launch off capture)テストが実行される様子を以下に説明する。
7.ローンチ・オフ・キャプチャ・テストのタイミング図
図8A及び8Bは、上述のように生成されたテスト・ベクトルで実行されるLOC(縮退(stuck-at)故障テストと呼ぶこともある)スキャンテストを表す例示の波形を示す図である。図8Aにおいて、期間811及び812はそれぞれ、テストのスキャンイン及びスキャンアウト期間及びそれぞれの応答ベクトルを表し、各々、パーティション220A及び220Bのスキャン・チェーン内の4つの並列に接続されたストレージ要素に対応する4つのクロック・サイクルを含む。期間t80〜t81は、キャプチャ位相を表し、ローンチ・パルス813(パーティション220A用)及び815(パーティション220B用)、及びキャプチャ・パルス814(パーティション220A用)及び816(パーティション220B用)を含む。図8Aの例では、これら2つのパーティションの各々に対するスキャンイン位相、キャプチャ位相、及びスキャンアウト位相が重なるように示されている。
図8Bにおいて、期間817及び819は、それぞれパーティション220A及び220Bに対するテスト・ベクトルのスキャンイン期間を表し、一方、期間818は、両方のパーティションに対する応答ベクトルのスキャンアウト期間を表す。この例でも、スキャンイン位相及びスキャンアウト位相の各々は、4つのクロック・サイクルに対して、及びその後、パーティション220A及び220Bのスキャン・チェーン内の4つの並列に接続されるストレージ要素に対応して、実行されるよう示されている。期間t82〜t83は、キャプチャ位相を表し、ローンチ・パルス820(パーティション220A用)及び822(パーティション220B用)、及びキャプチャ・パルス821(パーティション220A用)及び823(パーティション220B用)を含む。
図8Bの例では、これら2つのパーティションの各々に対するキャプチャ位相及びスキャンアウト位相は重なるが、これら2つのパーティションに対するスキャンイン位相は順次的である。上述のセクションで述べたように、スキャンイン位相、キャプチャ位相、及びスキャンアウト位相の他の組み合わせを用いることもできる。パーティション間に存在する論理のカバレッジを提供するだけでなく、異なる形式の順次的ATPGのサポートもするように、任意のパーティションのキャプチャ・パルスの数(0、1又はそれ以上)を変えることもできる。更に、図8A及び8Bにおいて、クロック221A及び221Bの周波数は、単に説明の目的のため同一であるように示している。しかし、一般に、図8A及び8Bの図のスキャンイン、スキャンアウト、及びキャプチャ位相に対応する変化に伴って、周波数が異なっていてよい。
LOCテストに関して上述したアプローチは、LOS(launch-on shift)テストをサポートすることはできないかもしれない。LOSテストとは、遅延テストと呼ぶこともあり、遷移故障をキャプチャするように全般的に設計された、別のタイプのスキャンテストである。LOSテストにおいても、スキャン・チェーンから組み合わせ回路への入力が供給されて、その後続くキャプチャ・サイクルの前に組み合わせ回路のノード/ネットに遷移を生じさせる。しかし、LOCテスト・アプローチとは対照的に、ローンチ値は、遷移をローンチするために用いられる最終シフト・クロック・サイクルでスキャン・チェーンから直接供給される。機能クロックの1クロックの周期に等しい期間の後、キャプチャ・サイクルが生成される。この出力値がキャプチャされ、シフトアウトされ、及び潜在的な故障がないかテスター110で分析される。
このため、LOSテストにおいてスキャン・チェーンにシフトインされる最終ビットが、テストされている組み合わせ論理に遷移を生じさせる必要がある。しかし、図8Bから、パーティション220Aのスキャン・チェーンのローンチ・パルス(シフトインされた最終テスト・ビット)の発生と、キャプチャ・パルス821の発生との間に許容し難い大きな時間期間「td」があり得ることが分かるであろう。このような遅延「td」は、大きすぎて、信号遷移に関連する如何なる故障をもキャプチャすることはできない。
効果的な遷移故障テストのため、対応する組み合わせ回路/要素又は相互接続ネットのデータ値の遷移の発生のタイム・インスタンスと、キャプチャタイム・インスタンスとの間の遅延は、一般的に、1機能クロック周期(典型的に、スキャンテスト中に対し、実際のオペレーション中に用いられるクロックの周期)を超えてはいけないことに注意されたい。本発明の一実施例は上述の要件に対処しており、これを以下に説明する。
8.LOSテストの向上
図9は、本発明の別の実施例において、LOS(launch off shift)遷移故障テストをサポートしつつ、電力消費が低減されるなどの向上した制御の利点を提供し、テストカバレッジ又はテスト適用時間が低減された又はそれらに影響を与えない、ICのブロック図である。IC900は、レジスタ(又は、一般に、ストレージ要素)910A及び910B、MUX920A及び920B、ディコンプレッサー930A及び930B、パーティション940A及び940B、圧縮器950A及び950B、及びMUX960を含むよう示されている。簡潔にしかつ説明を簡単にするため、2つのパーティション及び対応する回路(ディコンプレッサー、圧縮器、など)のみを図9に示す。しかし、代替の実施例が、本発明の幾つかの側面の範囲及び趣旨から逸脱することなく、2つ以上のパーティション(対応するディコンプレッサー、圧縮器、及びレジスタと共に)を備えて実装されてもよい。
ディコンプレッサー930A及び930B、パーティション940A及び940B、圧縮器950A及び950B、及びMUX960は、図2に関して上述した対応する構成要素に類似して実装され(かつ動作し)、簡潔にするためここでは繰り返しの説明はしない。スキャン・イネーブル信号980A及び980Bが、それぞれのパーティション940A及び940Bへ供給される。それぞれのクロックCLK9A及びCLK9Bが、それぞれ経路941及び942を介して各パーティションへ供給される。
MUX960は、制御入力961に基づいて、入力951A及び951Bの一方をスキャン出力信号ライン/ピン999(SO)に供給する。経路901は、多数のスキャンイン(SI入力)信号ラインを表し得、所望の数の信号ラインがレジスタ910A(及びMUX920A)及びレジスタ910B(及びMUX920B)に供給される。
パーティション940Aに対応する経路901(SI)のビットは、レジスタ910A及びMUX920Aの両方に供給される。同様に、パーティション940Bに対応する経路901(SI)のビットは、レジスタ910B及びMUX920Bの両方に供給される。レジスタ910A及び910Bは、それぞれのクロック941(CLK9A)及び942(CLK9B)でクロックされる。MUX920A及び920Bは、(レジスタに必要とされるビット幅を低減するため)それぞれディコンプレッサー930A及び930Bの(この信号経路において)前に示しているが、本明細書の開示を読めば当業者には明らかであろうが、本発明の幾つかの側面の範囲及び趣旨から逸脱することなく、代替の実施例は、ディコンプレッサーの後(又は他の場所)にレジスタを配置するよう実装されてもよい。ディコンプレッサーの後に配置される場合、レジスタは、幾つかのスキャン・チェーンにおいて遷移がスキャン・シフト経路を用いてローンチされ、幾つかのスキャン・チェーンにおいて遷移がローンチ・キャプチャ・クロックを用いてローンチされるように、個別のスキャン・チェーンの複合的な制御を提供することもできる。
レジスタ910Aは、クロックCLK9Aのアクティブ・エッジ(又はアクティブ・レベル)の入力信号901(SI)の(経路901(SI)の、パーティション940Aに供給されるべき信号ライン上の)対応するビットをストアする。レジスタ910Bは、クロックCLK9Bのアクティブ・エッジ(又はアクティブ・レベル)の入力信号901(SI)の対応するビットをストアする。クロック941(CLK9A)、942(CLK9B)、スキャン・イネーブル信号980A及び980B、及びMUX選択信号961は、テスト・コントローラ270に類似するコントローラによって、又はテスター(テスター110など)から直接、供給され得る。
幾つかのシナリオをテストすることが所望される場合があるため、図10Aは、幾つかの例示のシナリオを図示する。図10Aでは、便宜上、図9の対応するディコンプレッサー及び圧縮器は省略している。A、B、C、D、及びEと符号を付した楕円のブロックはそれぞれ、遷移故障をテストするために必要とされる1つ又はそれ以上の組み合わせ論理のブロックを表す。ブロックAは、「ローンチ」入力(経路1001及び1002でブロックAの組み合わせ論理に論理遷移を生じさせるデータ)を受信し、対応する組み合わせ出力をパーティション940Aに供給する。
ブロックBは、パーティション940A及び940Bの各々から「ローンチ」入力を受信し、対応する組み合わせ出力をパーティション940Aに供給する。ブロックCは、パーティション940Aから「ローンチ」入力を受信し、対応する組み合わせ出力をパーティション940Bに供給する。ブロックDは、パーティション940A及び940Bの各々から「ローンチ」入力を受信し、対応する組み合わせ出力をパーティション940A及び940Bの各々に供給する。ブロックEは、パーティション940Aから「ローンチ」入力を受信し、対応する組み合わせ出力をパーティション940A及び940Bの各々に供給する。
図10Aの構造に関して実行されるべきスキャンテスト(スキャンイン、キャプチャ、又はスキャンアウト)の所望の位相を含む一例の表を図10Bの表で提供する。この表の列1070はテストされるブロックを示す。列1071は、対応するテスト位相を示し、「L」はローンチを表し、「C」はキャプチャを表し、P1及びP2は、それぞれパーティション1及びパーティション2を表す。図10Bの表の「テストケース」は図10Aのシナリオに対応することがわかるだろう。
図10C、10D、10E、10F、及び10Gのクロック波形は、図10Bの行1080、1081、1082、1083、及び1084の5つのテストケースそれぞれに対応する。これらの図において、「S」で表示するクロックパルスは、シフトイン・パルス(「L」(ローンチ・パルス)で表示する最終シフトイン・パルスは含まない)を示す。キャプチャ・パルスは、この波形では「C」で表示する。簡潔にするため、この波形では、シフトイン位相は3つのクロック・サイクルのみを必要とすると考えられる。
このため、図10Cの波形では、パーティション1からシフトイン・パルス、ローンチ・パルス(1100)、及びキャプチャ・パルスが生成され(供給され)、対応するキャプチャもパーティション1(P1)で実行される。平坦な線で示すようにパーティション2(P2)はテストされない。図10Eの波形では、P1からシフト・パルス(ローンチ・パルス1104を含む)が生成される(供給される)が、対応するキャプチャはP2で実行される。
図10Gの波形では、シフト・パルス(ローンチ・パルス1108を含む)がP1から生成され(供給され)、対応するキャプチャはP1及びP2の両方で実行される。図10C、10E、及び10Gの波形におけるスキャンイン位相のデータビットは、それぞれのディコンプレッサー930A及び930Bに経路901(SI)から直接供給される。即ち、選択信号541A及び541Bがそれぞれのディコンプレッサーへの経路901(SI)に入力を供給する。
図10Dに関し、まずP2へのシフトインが、それに続いてP1へのシフトインが実行される。テストされるべき遷移がP1及びP2の両方からローンチされることになるため、テスト・ビットの順次的シフトに起因して、P2からの(シフトインされる最終ビットに対応する)シフトイン・パルス1101は、P1のキャプチャ・パルスよりずっと早く(通常オペレーション中のクロック周期より少なくとも1つのクロック・サイクル早く)生じることに注意されたい。そのため、遅延(遷移故障)に関してブロックBを正確にテストすることができない可能性がある。
従って、P2からのローンチ・パルス1102は、P1からのローンチ・パルス1103と同時に、P1のキャプチャ・パルスの直前に(レジスタ910Bから)供給される。タイム・インスタンスt101で(またはその直前に)、選択信号541Bが供給されて、レジスタ910Bのデータ出力がMUX920Bの出力として送られるようにする。ローンチ・パルス1103に対応する(P1からの)データは、レジスタ910Aから、或いはSI(901)から直接、供給され得る。
図10Fに関し、まずP2へのシフトインが、それに続いてP1へのシフトインが実行される。この場合も、テストされるべき遷移はP1及びP2の両方からローンチされることになるため、テスト・ビットの順次的シフトに起因して、P2からの(シフトインされる最終ビットに対応する)シフトイン・パルス1105は、P1のキャプチャ・パルスよりずっと早く生じることに注意されたい。そのため、遅延(遷移故障)に関してブロックBを正確にテストすることができない可能性がある。
従って、P2からのローンチ・パルス1106は、P1からのローンチ・パルス1107と同時に、P1及びP2両方のキャプチャ・パルスの直前に(レジスタ910Bから)供給される。タイム・インスタンスt102で(又はその直前に)、選択信号541Bが供給されてレジスタ910Bのデータ出力がMUX920Bの出力として送られるようにする。ローンチ・パルス1107に対応する(P1からの)データは、レジスタ910Aから、或いはSI(901)から直接、供給され得る。
このように、遷移故障テストを実行するとき最終(ローンチ)ビットを供給するためにレジスタ910A及び910Bを利用することで、このようなテストを正確に実行することが可能となる。一般に、レジスタ(910A又は910Bなど)は、ICのパーティション毎に供給され得る。
本発明の別の側面は、一実施例に関連して以下に説明するように、得られる圧縮レベルやテスト品質/テストカバレッジに悪影響を与えることなく、IC上に供給される必要のあるSI及びSOピンの数を低減させる。
9.テスト・ピン数の低減
図11は、一実施例における、スキャンテストのためのテスト・ピンの数が低減されたICのブロック図である。IC1100は、シリアル−パラレル変換器1110、パラレル−シリアル変換器1120、クロック分周器1130、カウンタ1150、及び200/900で示す部分を含むよう示されている。部分200/900は、図2のIC200又は図9のIC900の構造と同様又は同一であり、簡潔にするため、内部の詳細はここでは説明しない。また、コントローラ270に類似するテスト・コントローラをIC1100内に実装してもよいが、図11には図示していない。簡潔にするため、種々の信号(図11の種々のブロックへのリセット信号など)は図示していない。
クロック1140は、シリアル−パラレル変換器1110及びパラレル−シリアル変換器1120のオペレーションを制御/調整する。クロック分周器1130は、クロック1140を係数(典型的に、整数)で分周し、分周されたクロックを部分200/900への経路1113に供給する。一般に、分周係数は、経路1101のデータの幅と経路1111のデータの幅との比に依存する。例えば、経路1101でクロック1140のクロック・サイクル毎に4ビットが受信され、シリアル−パラレル変換器1110が、2つのこのような4ビットデータ入力を組み合わせて単一の8ビットデータ出力を形成する場合、分周比は2となる。
シリアル−パラレル変換器1110は、クロック1140のクロック・サイクル毎に経路1101でNビット幅のテストデータを受信する。シリアル−パラレル変換器1110は、対応する数の多数のクロック・サイクルにわたって受信した多数セットのNビットデータを組み合わせてMビット幅データ(MはNより大きい)を形成し、このMビットデータを多数の(M本の)ラインを含む経路1111で部分200/900へ送信する。一例として、部分200/900がクロック1113の各クロック・サイクルに8ビット幅入力を受信するように設計されると仮定すると、経路1111は8つの経路を含み/表し得る。経路1101は、例えば、4ビット幅であってもよい。このように、シリアル−パラレル変換器1110は、4−8コンバータとして実装され得、経路1101の2つの4ビット入力を組み合わせて1つの8ビットデータ値を経路1111に供給する。
パラレル−シリアル変換器1120は、経路1112でクロック1140のクロック・サイクル毎にPビット幅データ(スキャンテストでキャプチャされた応答ビット)を受信する。クロック1113のクロック周波数は、応答ビットのスキャンアウト周波数に等しく、スキャンアウト・サイクルは、クロック1113の1つのクロック・サイクルに等しい。パラレル−シリアル変換器1120は、Pビットデータを分割して多数のQビットデータ(PはQより大きい)を形成し、そのQビットデータを対応する数の多数のクロック・サイクルにわたって経路1199で送信する。一例として、部分200/900が、クロック1113の各クロック・サイクルで8ビット幅出力(応答ビット)を供給するように設計されると仮定すると、経路1112は8つの経路を含み/表し得る。経路1199は、例えば、4ビット幅であってもよい。このように、パラレル−シリアル変換器1120は、8−4コンバータとして実装され得、経路1112で受信した各8ビットデータを、経路1199に送られる2つの4ビットデータに分割する。
シリアル−パラレル変換器1110のオペレーションに関し、入力データから形成される出力データは、単に連結されるということに注意されたい。このため、出力データ(経路1111)のビット間には何ら相関関係はない。このようなオペレーションは、多数の出力ラインに同じ入力ビットを供給し得る(例えば、図2及び図9の)ディコンプレッサーのオペレーションとは明らかな差異があることをここで強調する。そのため、ディコンプレッサーによって供給される出力ビットは、互いに対して相関している。圧縮器及びパラレル−シリアル変換器1120も、同様に異なっている。
図12及び図13の例示の図に関連して以下に説明するように、カウンタ1150は、パラレル−シリアル変換器1120のオペレーションだけでなくシリアル−パラレル変換器1110のオペレーションも制御する。カウンタ1150は、クロック1113に対するクロック1140のクロック周波数の比を表す係数の2倍まで円形にカウントするように設計される。即ち、このカウンタはモジュロ[2×係数]カウンタである。
シリアル−パラレル変換器1110及びパラレル−シリアル変換器1120を提供することにより、スキャン・チェーン内に一層幅広い(しかも互いに相関しない)テスト・ビットを提供しつつ、テスト用の外部ピン(1101及び1199)が一層少なくなるようIC1100を実装することが可能となることを理解されたい。このようなアプローチにより、必要とする外部ピンが比較的少ない、高テストカバレッジが可能となる。
ディコンプレッサーに用いる入力ピンが一層少なく、圧縮器に用いる出力ピンが一層少ない代替のアプローチでは、テストカバレッジが劣ることになり得ることに注意されたい。別の代替のアプローチは、テスト・ベクトル自体に更に高い圧縮を実現することも可能である。しかし、密度の高い圧縮は一般的にテストカバレッジに悪影響を与える。図11のアプローチでは、ICは、テストカバレッジを狭めることなく、テスト/スキャン・ピン(SI及びSO)を低減して実装され得る。所定のテストカバレッジのため(スキャン圧縮に起因する)テスト時間の適度なトレードオフを得るために、テスターからの外部テストデータの拡張、及びICからの内部テストデータの圧縮は、スケーラブルにされ得、クロック分周器(図11のクロック分周器1130)内の分周値の選択をさせる。
図12は、シリアル−パラレル変換器1110が実装され、カウンタ1150からの制御の下で動作する様子を図示するブロック図である。この例において、シリアル−パラレル変換器1110は、経路1101で4ビット入力を受信し、経路1111で8ビット出力を供給すると仮定する。
カウンタ1150は、2ビット・バイナリ・カウンタとして実装され、クロック1040でクロックされ、経路1151に2ビット出力を供給する。この2ビット出力は、以下では便宜上、Sel[1:0]とする。ブロック1210、1220、1230、及び1240の各々は、4ビット・レジスタを表し、クロック1040でクロックされる。
マルチプレクサ(MUX)1051、1052、1053、及び1054(入力マルチプレクサ)の選択入力は次のように定義される。
s1は、Sel[1:0]の状態00を表す
s2は、Sel[1:0]の状態01を表す
s3は、Sel[1:0]の状態10を表す
s4は、Sel[1:0]の状態11を表す
このため、MUX1051は、s1が00に等しいとき入力1101をその出力として、それ以外の場合、他の入力をその出力として選択する。MUX1052、1053、及び1054はそれぞれ対応して動作する。Sel[1:0]の高次の出力ビットは選択信号としてMUX1055及び1056(出力マルチプレクサ)に供給される。
オペレーションにおいて、カウンタ出力が「00」であるとき、経路1101(即ち、4ラインを有する)の4ビットデータはレジスタ1210にストアされる。クロック1040の次のクロック・サイクルにおいて、カウンタ出力は「01」であり、経路1101の(次の)4ビットデータはレジスタ1220にストアされる。クロック1040の次のクロック・サイクルにおいて、カウンタ出力は「10」であり、経路1101の(次の)4ビットデータは、レジスタ1230にストアされる。また、「10」のカウンタ出力は、レジスタ1210及び1220にストアされたデータを8ビットデータとして出力1111に供給する。クロック1040の次のクロック・サイクルにおいて、カウンタ出力は11であり、経路1101の(次の)4ビットデータはレジスタ1240にストアされる。経路1111に予め供給された8ビットデータはその経路に存在し続ける。
クロック1040の次のクロック・サイクルにおいて、カウンタ出力がまた「00」であるとき、経路1101の次の4ビットデータはレジスタ1210にストアされる。また、「00」のカウンタ出力は、レジスタ1230及び1240にストアされたデータを8ビットデータとして出力1111に供給する。クロック1040の次のクロック・サイクルにおいて、カウンタ出力は「01」であり、経路1101の(次の)4ビットデータはレジスタ1220にストアされる。前のクロック・サイクルの8ビット出力は、経路1111に供給され続ける。
上述のサイクルが反復し、シリアル−パラレル変換器1110は、2つの4ビット入力から形成される8ビット出力を供給し続ける。
上述では例として2の係数(周波数比)に関して説明したが、このような特徴を更に高い係数に拡張することは当業者に明らかであろうことを理解されたい。1つのアプローチに従って、Nの係数に対し、2行及びN列で構成されるユニットで、MUX1051及びレジスタ1210の(又は、に類似する)(2×N)ユニット/組み合わせがあり得る。上述のように、経路1101で受信されたデータ・ユニットは、行−幅方式でストアされ、行の全てのユニットでストアした後、次に続く列へ移動し得る。各出力クロック・サイクルでレジスタの1つの行にストアされたデータを選択するために、N個の出力マルチプレクサ(1051など)が用いられ得る。
図13は、パラレル−シリアル変換器1120の一実施例が実装され、カウンタ1150からの制御の下で動作する様子を図示するブロック図である。この例において、パラレル−シリアル変換器1120は、経路1112で8ビット入力を受信し、経路1199で4ビット出力を供給すると仮定する。カウンタ1150の2ビット出力の低次(ゼロ位置)ビット(Sel[0])が選択入力としてMUX1320に供給される。レジスタ1310は4ビット・レジスタである。経路1112の8ビットのうち上側4ビットが経路1322で供給され、経路1112の8ビットの下側4ビットが経路1321で供給される。オペレーションにおいて、Sel[0]が0に等しいとき、経路1321の入力がレジスタ1310にストアされ、出力1199として供給される。Sel[0]が1に等しいとき、経路1322の入力がレジスタ1310にストアされ、出力1199として供給される。
当業者であれば、他の多くの実施例及び変形も特許請求の範囲に包含されることが理解されるであろう。例示の実施例の文脈で説明したような特徴又は工程のすべて又はその幾つかを有する例示の実施例の文脈で説明した特徴又は工程の1つ又はそれ以上の異なる組み合わせを有する実施例も、本明細書に包含されることを意図している。

Claims (4)

  1. 集積回路であって、
    複数のスキャン・チェーンとして機能し得る複数のメモリ要素であって、前記複数のスキャン・チェーンが複数のパーティションにグループ化されて、それにより各パーティションの複数のスキャン・チェーンが対応するパーティション・イネーブル信号を介して共にイネーブルされ、各スキャン・チェーンがテスト・データを受けるためのテスト・データ入力に接続される、前記複数のメモリ要素と、
    複数のブロックを含むテスト・コントローラであって、各ブロックが前記複数のパーティションの1つに対応する、テスト・コントローラと、
    を含み、
    各ブロックが、
    その中にデジタル・データを格納する遅延レジスタであって、デジタル・データ入力を受ける入力とデジタル・データ出力を発生する出力とを有する、前記遅延レジスタと、
    その中にデジタル・カウントを格納するカウント・レジスタであって、前記対応する遅延レジスタの前記出力に接続された入力とデジタル・データ出力を発生する出力とを有する、前記カウント・レジスタと、
    対応する遅延レジスタに格納された前記デジタル・データを受ける第1の入力と、第2の入力と、前記第2の入力で入力を受けた後の所定の時間に所定の状態を有するデジタル・データ出力を発生する出力とを有る遅延要素であって、前記所定の時間が対応する遅延レジスタに格納された前記デジタル・データに対応する、前記遅延要素と、
    前記遅延要素の前記出力に接続されたトリガ入力とその中のカウントに対応するデジタル出力を発生するカウント出力とを有するカウンタであって、前記トリガ入力で所定の状態の受信の開始をカウントする、前記カウンタと、
    前記カウント・レジスタと前記カウンタに接続された比較器であって、前記カウント・レジスタに格納された前記デジタル・カウントを前記カウンタの前記カウントと比較し、前記カウント・レジスタに格納されたデジタル・カウントが前記カウンタの前記カウントと一致するときに一致信号を発生する、前記比較器と、
    前記比較器の前記一致信号を入力するための入力と、出力とを有するインバータと、
    前記遅延要素の前記出力を受けるように接続された第1の入力と、前記インバータの前記出力を受けるように接続された第2の入力と、複数のスキャン・チェーンの対応するパーティションに対する前記パーティション・イネーブル信号を発生する出力とを有するANDゲートと、
    を含み、
    前記テスト・コントローラの前記複数のブロックが第1のブロックから最後のブロックに至るまで順次に配置され、それにより前記第1のブロックの前記遅延レジスタの前記入力が前記テスト・データ入力を受け、各後続のブロックの前記遅延レジスタの前記入力が前のブロックの前記カウント・レジスタの前記出力を受け、前記第1のブロックの前記遅延要素の前記入力がグローバル開始信号を受け、各後続のブロックの前記遅延要素の前記入力が前のブロックの前記比較器の前記一致信号を受け、
    前記テスト・データ入力が外部テスターに接続されるピンのセットから受け取られ、
    前記ピンのセットの数が前記複数のスキャン・チェーンの数より少ない、
    集積回路。
  2. 請求項1の集積回路であって、
    前記第1のブロックを除いた前記テスト・コントローラのブロックが、
    その中に1つのビットを格納する論理バイパス・レジスタであって、前記対応するカウント・レジスタの前記出力に接続された入力と、前記後続のブロックの前記遅延レジスタの前記入力に接続された出力とを有する、前記論理バイパス・レジスタと、
    前記グローバル開始信号を受ける第1の入力と、前記前のブロックの前記比較器の前記一致信号を受ける第2の入力と、前記バイパス・レジスタに格納された前記1つのビットを受ける制御信号と、前記遅延要素の前記トリガ入力に接続された出力とを有するマルチプレクサであって、前記対応するバイパス・レジスタに格納された前記1つのビットのデジタル状態に依存して前記出力に前記第1の入力又は前記第2の入力の何れかを出力する、前記マルチプレクサと、
    を更に含む、集積回路。
  3. 請求項1の集積回路であって、
    前記テスト・コントローラの前記複数のブロックの各ブロックが、グローバル・マスタ・クロックを受ける第1の入力と、前記対応するパーティション・イネーブル信号を受ける第2の入力と、複数のスキャン・チェーンの前記対応するパーティションに対してクロック入力を供給する出力とを有するANDゲートを更に含む、集積回路。
  4. 請求項1の集積回路であって、
    前記テスト・コントローラの前記複数のブロックの各ブロックが、グローバル・スキャン・イネーブル信号を受ける第1の入力と、前記対応するパーティション・イネーブル信号を受ける第2の入力と、前記複数のスキャン・チェーンの前記対応するブロックに対してイネーブル入力を供給する出力とを有するANDゲートを更に含む、集積回路。
JP2012535422A 2009-10-23 2010-10-22 パーティションを設けたスキャン・チェーンを備えた集積回路のスキャンテストにおける向上した制御 Active JP5679236B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/604,397 2009-10-23
US12/604,397 US8205125B2 (en) 2009-10-23 2009-10-23 Enhanced control in scan tests of integrated circuits with partitioned scan chains
PCT/US2010/053806 WO2011050292A2 (en) 2009-10-23 2010-10-22 Enhanced control in scan tests of integrated circuits with partitioned scan chains

Publications (3)

Publication Number Publication Date
JP2013508731A JP2013508731A (ja) 2013-03-07
JP2013508731A5 JP2013508731A5 (ja) 2013-12-12
JP5679236B2 true JP5679236B2 (ja) 2015-03-04

Family

ID=43899411

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012535422A Active JP5679236B2 (ja) 2009-10-23 2010-10-22 パーティションを設けたスキャン・チェーンを備えた集積回路のスキャンテストにおける向上した制御

Country Status (4)

Country Link
US (1) US8205125B2 (ja)
JP (1) JP5679236B2 (ja)
CN (1) CN102576050B (ja)
WO (1) WO2011050292A2 (ja)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8607107B2 (en) 2010-04-20 2013-12-10 Mentor Graphics Corporation Test access mechanism for diagnosis based on partitioining scan chains
KR101709071B1 (ko) * 2010-05-19 2017-02-22 삼성전자주식회사 컴프레션 모드 스캔 테스트를 위한 집적 회로
US8887018B2 (en) * 2010-06-11 2014-11-11 Texas Instruments Incorporated Masking circuit removing unknown bit from cell in scan chain
US8438437B2 (en) 2010-07-27 2013-05-07 Texas Instruments Incorporated Structures and control processes for efficient generation of different test clocking sequences, controls and other test signals in scan designs with multiple partitions, and devices, systems and processes of making
WO2012019649A1 (en) 2010-08-12 2012-02-16 Verigy (Singapore) Pte. Ltd. Test apparatus for generating reference scan chain test data and test system
US8281279B2 (en) * 2010-12-08 2012-10-02 International Business Machines Corporation Creating scan chain definition from high-level model using high-level model simulation
US10110226B2 (en) * 2011-02-24 2018-10-23 New York University Architecture, system, method, and computer-accessible medium for expedited-compaction for scan power reduction
US8793546B2 (en) * 2011-06-20 2014-07-29 Lsi Corporation Integrated circuit comprising scan test circuitry with parallel reordered scan chains
US8301947B1 (en) * 2011-10-31 2012-10-30 Apple Inc. Dynamic scan chain grouping
US8972807B2 (en) * 2012-05-14 2015-03-03 Texas Instruments Incorporated Integrated circuits capable of generating test mode control signals for scan tests
US8793545B2 (en) * 2012-07-03 2014-07-29 Apple Inc. Apparatus and method for clock glitch detection during at-speed testing
US9116205B2 (en) 2012-09-27 2015-08-25 International Business Machines Corporation Test coverage of integrated circuits with test vector input spreading
US9377510B2 (en) 2012-12-28 2016-06-28 Nvidia Corporation System for reducing peak power during scan shift at the global level for scan based tests
US9222981B2 (en) * 2012-12-28 2015-12-29 Nvidia Corporation Global low power capture scheme for cores
US9395414B2 (en) * 2012-12-28 2016-07-19 Nvidia Corporation System for reducing peak power during scan shift at the local level for scan based tests
US9557382B1 (en) * 2012-12-31 2017-01-31 Marvell Israel (M.I.S.L) Ltd. Inter-block scan testing with share pads
US9709629B2 (en) 2013-01-08 2017-07-18 Nxp Usa, Inc. Method and control device for launch-off-shift at-speed scan testing
US9086457B2 (en) 2013-03-26 2015-07-21 International Business Machines Corporation Scan chain latch design that improves testability of integrated circuits
US9057765B2 (en) * 2013-04-12 2015-06-16 International Business Machines Corporation Scan compression ratio based on fault density
US9194915B2 (en) 2013-09-12 2015-11-24 International Business Machines Corporation Control test point for timing stability during scan capture
US9915702B2 (en) * 2013-11-26 2018-03-13 Mentor Graphics Corporation Channel sharing for testing circuits having non-identical cores
US20150185285A1 (en) * 2013-12-30 2015-07-02 Sandisk Technologies Inc. System and method for reduced pin logic scanning
US9222974B2 (en) * 2014-01-10 2015-12-29 Stmicroelectronics International N.V. System and method for reducing voltage drop during automatic testing of integrated circuits
US9606182B2 (en) * 2014-06-16 2017-03-28 Samsung Electronics Co., Ltd. System on chip
KR102197068B1 (ko) * 2014-06-16 2020-12-30 삼성전자 주식회사 Soc, soc 테스트 방법 및 테스트 시스템
US9285424B2 (en) * 2014-07-25 2016-03-15 Freescale Semiconductor,Inc. Method and system for logic built-in self-test
US10481203B2 (en) 2015-04-04 2019-11-19 Nvidia Corporation Granular dynamic test systems and methods
US10317463B2 (en) 2015-10-27 2019-06-11 Nvidia Corporation Scan system interface (SSI) module
US9535121B1 (en) * 2015-04-13 2017-01-03 Qualcomm Incorporated Methods and apparatuses to enhance timing delay fault coverage with test logic that includes partitions and scan flip-flops
US9823304B2 (en) 2015-04-30 2017-11-21 Stmicroelectronics S.R.L. Integrated electronic device having a test architecture, and test method thereof
US9640280B1 (en) * 2015-11-02 2017-05-02 Cadence Design Systems, Inc. Power domain aware insertion methods and designs for testing and repairing memory
US10088525B2 (en) * 2016-02-11 2018-10-02 Texas Instruments Incorporated Non-interleaved scan operation for achieving higher scan throughput in presence of slower scan outputs
US10318370B2 (en) * 2016-03-25 2019-06-11 Seiko Epson Corporation Circuit device, physical quantity detection device, oscillator, electronic apparatus, vehicle, and method of detecting failure of master clock signal
US10060979B2 (en) * 2016-08-02 2018-08-28 Texas Instruments Incorporated Generating multiple pseudo static control signals using on-chip JTAG state machine
CN107689800B (zh) * 2016-08-03 2020-12-18 瑞昱半导体股份有限公司 C型通用序列汇流排切换电路
CN106771962B (zh) * 2016-11-29 2019-07-19 天津大学 一种基于部分扫描的集成电路故障注入攻击模拟方法
US10520547B2 (en) * 2017-09-29 2019-12-31 Silicon Laboratories Inc. Transition scan coverage for cross clock domain logic
US10996273B2 (en) * 2018-03-22 2021-05-04 Siemens Industry Software Inc. Test generation using testability-based guidance
US10866280B2 (en) 2019-04-01 2020-12-15 Texas Instruments Incorporated Scan chain self-testing of lockstep cores on reset
US10746797B1 (en) 2019-04-22 2020-08-18 Texas Instruments Incorporated Dynamically protective scan data control
US11073557B2 (en) 2019-05-08 2021-07-27 Texas Instruments Incorporated Phase controlled codec block scan of a partitioned circuit device
US10852353B1 (en) * 2019-07-02 2020-12-01 Texas Instruments Incorporated Scan test control decoder with storage elements for use within integrated circuit (IC) devices having limited test interface
US11204385B2 (en) * 2019-11-20 2021-12-21 Texas Instruments Incorporated Transition fault test (TFT) clock receiver system
US11016145B1 (en) * 2019-12-19 2021-05-25 Allegro Microsystems, Llc Fault test circuit using launch-off-shift scan
CN112345924A (zh) * 2020-10-30 2021-02-09 上海兆芯集成电路有限公司 扫描链控制电路
US11320485B1 (en) * 2020-12-31 2022-05-03 Nxp Usa, Inc. Scan wrapper architecture for system-on-chip
US11726140B2 (en) 2021-02-01 2023-08-15 Stmicroelectronics International N.V. Scan circuit and method
KR20220117825A (ko) * 2021-02-17 2022-08-24 연세대학교 산학협력단 고장 진단 가능한 스캔 장치 및 스캔 체인 고장 진단 방법
CN113627106B (zh) * 2021-08-04 2022-02-15 北京华大九天科技股份有限公司 多比特寄存器的仿真方法、装置和电子设备
CN114638183B (zh) * 2022-05-10 2022-08-26 上海泰矽微电子有限公司 一种采用单pin脚观测芯片内部多个信号的装置及方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05264656A (ja) * 1992-03-19 1993-10-12 Fujitsu Ltd 回路機能検査処理方式
US5812561A (en) * 1996-09-03 1998-09-22 Motorola, Inc. Scan based testing of an integrated circuit for compliance with timing specifications
US5991909A (en) * 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
US6055649A (en) * 1997-11-19 2000-04-25 Texas Instruments Incorporated Processor test port with scan chains and data streaming
JP4748828B2 (ja) * 1999-06-22 2011-08-17 ルネサスエレクトロニクス株式会社 半導体記憶装置
JP2001318125A (ja) 1999-12-20 2001-11-16 Texas Instr Inc <Ti> 自動化された最大走査チャネル長判定を行うlbist制御回路と、システムと、方法
US6654920B1 (en) * 1999-12-20 2003-11-25 Texas Instruments Incorporated LBIST controller circuits, systems, and methods with automated maximum scan channel length
EP1271162B1 (en) * 2001-06-20 2005-10-26 Broadcom Corporation Test system
US7552373B2 (en) * 2002-01-16 2009-06-23 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US6671839B1 (en) 2002-06-27 2003-12-30 Logicvision, Inc. Scan test method for providing real time identification of failing test patterns and test bist controller for use therewith
EP1595156B1 (en) 2003-02-10 2006-11-29 Koninklijke Philips Electronics N.V. Testing of integrated circuits
US20050015213A1 (en) 2003-07-15 2005-01-20 Kevin Somervill Method and apparatus for testing an electronic device
CN1879028A (zh) * 2003-12-01 2006-12-13 诺基亚公司 具有泄漏控制的集成电路以及用于泄漏控制的方法
JP2007263866A (ja) 2006-03-29 2007-10-11 Nec Electronics Corp 半導体集積回路及びそのテスト方法
US7831877B2 (en) * 2007-03-08 2010-11-09 Silicon Image, Inc. Circuitry to prevent peak power problems during scan shift
JP5035665B2 (ja) * 2007-03-19 2012-09-26 日本電気株式会社 半導体集積回路、半導体集積回路のテストパターン生成装置
US8726112B2 (en) * 2008-07-18 2014-05-13 Mentor Graphics Corporation Scan test application through high-speed serial input/outputs
JP2010139322A (ja) * 2008-12-10 2010-06-24 Renesas Electronics Corp 半導体集積回路およびその半導体集積回路のテスト方法
US7937634B2 (en) * 2009-02-17 2011-05-03 Almukhaizim Sobeeh A Circuit and method providing dynamic scan chain partitioning

Also Published As

Publication number Publication date
JP2013508731A (ja) 2013-03-07
US20110099442A1 (en) 2011-04-28
CN102576050A (zh) 2012-07-11
WO2011050292A3 (en) 2011-09-15
CN102576050B (zh) 2015-03-11
WO2011050292A2 (en) 2011-04-28
US8205125B2 (en) 2012-06-19

Similar Documents

Publication Publication Date Title
JP5679236B2 (ja) パーティションを設けたスキャン・チェーンを備えた集積回路のスキャンテストにおける向上した制御
JP4903365B2 (ja) スキャンベースの集積回路でスキャンパターンをブロードキャストする方法および装置
US6327685B1 (en) Logic built-in self test
US8290738B2 (en) Low power scan testing techniques and apparatus
US7624322B2 (en) Scan based testing of an integrated circuit containing circuit portions operable in different clock domains during functional mode
US20010056559A1 (en) Accelerating scan test by re-using response data as stimulus data
US10386413B2 (en) Circuit and method for testing flip flop state retention
JP2015522800A (ja) スキャンテスト用のテストモード制御信号を生成可能な集積回路
WO2007140366A2 (en) Testing components of i/o paths of an integrated circuit
US8914689B2 (en) Controlled toggle rate of non-test signals during modular scan testing of an integrated circuit
US11747399B2 (en) Scan test control decoder with storage elements for use within integrated circuit (IC) devices having limited test interface
JPH04233635A (ja) 順序ディジタル論理回路の組み込み自己検査用装置
US20110175638A1 (en) Semiconductor integrated circuit and core test circuit
JP6444317B2 (ja) スキャンテストリソースの動的アロケーションのための回路及び方法
US8510616B2 (en) Scalable scan-based test architecture with reduced test time and test power
US20060041806A1 (en) Testing method for semiconductor device and testing circuit for semiconductor device
US7421634B2 (en) Sequential scan based techniques to test interface between modules designed to operate at different frequencies
US7380184B2 (en) Sequential scan technique providing enhanced fault coverage in an integrated circuit
US7028238B2 (en) Input/output characterization chain for an integrated circuit
US11879942B1 (en) Core and interface scan testing architecture and methodology
Wang et al. A Low Overhead High Test Compression Technique Using Pattern Clustering With $ n $-Detection Test Support
Zhang et al. Using existing reconfigurable logic in 3D die stacks for test
KR20090047027A (ko) 반도체 회로 테스트를 위한 클럭 제어 회로, 반도체 회로테스트를 위한 클럭 제어 방법 및 클럭 제어 회로를 구비한반도체 장치
Chandra et al. On using twisted-ring counters for testing embedded cores in system-on-a-chip designs
Xiang et al. Scan testing for complete coverage of path delay faults with reduced test data volume, test application time, and hardware cost

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131022

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131022

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141224

R150 Certificate of patent or registration of utility model

Ref document number: 5679236

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250