JP5596909B2 - 選択スプレー式エッチングを使用して堆積チャンバ部分をクリーニングするための方法及び装置 - Google Patents

選択スプレー式エッチングを使用して堆積チャンバ部分をクリーニングするための方法及び装置 Download PDF

Info

Publication number
JP5596909B2
JP5596909B2 JP2008169157A JP2008169157A JP5596909B2 JP 5596909 B2 JP5596909 B2 JP 5596909B2 JP 2008169157 A JP2008169157 A JP 2008169157A JP 2008169157 A JP2008169157 A JP 2008169157A JP 5596909 B2 JP5596909 B2 JP 5596909B2
Authority
JP
Japan
Prior art keywords
cleaning
temperature
spray
spraying
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008169157A
Other languages
English (en)
Other versions
JP2009007674A5 (ja
JP2009007674A (ja
Inventor
バオ リユアン
エス. エイチ. タン サマンサ
ジャン アンベイ
Original Assignee
クアンタム グローバル テクノロジーズ リミテッド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアンタム グローバル テクノロジーズ リミテッド ライアビリティ カンパニー filed Critical クアンタム グローバル テクノロジーズ リミテッド ライアビリティ カンパニー
Publication of JP2009007674A publication Critical patent/JP2009007674A/ja
Publication of JP2009007674A5 publication Critical patent/JP2009007674A5/ja
Application granted granted Critical
Publication of JP5596909B2 publication Critical patent/JP5596909B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G3/00Apparatus for cleaning or pickling metallic material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Weting (AREA)
  • ing And Chemical Polishing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)

Description

関連出願
本出願は、2007年6月28日に出願され、「TANTALUM/TANTALUM NITRIDE STRPPING OF CHAMBER PARTS USING SELECTIVEETCHING」と題する米国仮特許出願第60/946,983号(代理人管理番号12260/L)に基づく優先権を主張している。この出願明細書の記載は、あらゆる目的のためにそのままここに援用される。
2003年7月17日に出願され、「METHOD OF SURFACE TEXTURING」と題する共有米国特許第6,812,471号明細書は、そのまま且つあらゆる目的のためここに援用される。
2002年3月13日に出願され、「METHOD OF SURFACE TEXTURING」と題する共有米国特許第6,933,508号明細書は、そのまま且つあらゆる目的のためここに援用される。
発明の分野
本発明は、一般的に、電子装置製造に関し、より詳細には、処理チャンバ構成部分のクリーニングを目的とする。
発明の背景
半導体、フラットパネル及び太陽光発電パネルの製造処理においては、種々な膜が基板の表面に堆積される。基板を処理する途中において、膜を堆積するのに使用される装置(及び/又はチャンバ)が、意図していないのに、それら堆積膜で被覆されてしまうことがある。清浄で且つばらつきのないチャンバ環境を維持するため、現場クリーニング処理がよく使用される。しかしながら、ある場合には、現場クリーニングは、可能でなく、又は、効果的でなくなってしまうことがある。このような場合には、膜で覆われてしまった装置を取り外してクリーニングすることが必要とされる。
発明の概要
一態様では、電子装置製造処理チャンバ部分をクリーニングするための方法において、a)上記部分を酸でスプレーするステップと、b)上記部分を脱イオン化水でスプレーするステップと、c)上記部分を水酸化カリウムで処理するステップと、を含む方法が提供される。
別の態様では、電子装置製造処理チャンバの構成部分のためのスプレー式クリーニング装置において、a)支持部材と、b)上記支持部材に取り付けられたスプレーノズルと、c)クリーニング化学剤供給源と、d)クリーニング化学剤を上記クリーニング化学剤供給源から上記スプレーノズルへと搬送するように適応された導管と、を含み、上記支持部材に取り付けられた上記スプレーノズルは、回転し且つ直線的に移動するように適応され、更に、複数の方向から、クリーニング化学剤のスプレーを、電子装置製造処理チャンバ構成部分の内部へと向けるように適応されるスプレー式クリーニング装置が提供される。
更に別の態様では、電子装置製造処理チャンバの構成部分のためのスプレー式クリーニング装置において、a)クリーニングタンクと、b)アームに接続された複数のスプレーノズルと、c)上記タンクの内側に処理チャンバ構成部分を保持するように適応された取付け装置と、d)上記スプレーノズルに接続されたクリーニング化学剤供給源と、を含み、上記アームは、複数の方向からクリーニング化学剤のスプレーを上記チャンバ構成部分へ向けるように、上記スプレーノズルを移動するよう適応されるスプレー式クリーニング装置が提供される。
本発明のこれらの及び他の態様によれば、種々な他の態様が提供される。本発明の他の特徴及び態様は、以下の詳細な説明、特許請求の範囲の記載及び添付図面からより十分に明らかとなろう。
詳細な説明
処理チャンバ構成部分を再生するのに、それら構成部分を、意図していない被覆又は膜を除去するため酸浴へ浸漬することができる。しかしながら、このような酸浴への浸漬を行うと、望ましくない激しい反応を生じてしまうことがある。また、この反応により大量の熱が生成されて、その装置が過熱されないような動作温度へとその処理を冷却するのに深冷器が必要とされてしまうことがある。
その上、その装置に対する意図していない被覆は、一様でないことがある。例えば、物理気相堆積(PVD)チャンバの場合には、チャンバの上部にソース又はターゲット物質があり、チャンバの底部に基板があり、そのターゲットから基板へと延長するようにしてチャンバの内部を取り巻くシールドがある。そのターゲット又はソース近くのシールドの上部には、基板近くのシールドの底部におけるよりも、厚い濃い被覆が蓄積されてしまう。浸漬クリーニング処理が使用される時には、膜蓄積の厚い領域は、十分にクリーニングされず、一方、膜蓄積の薄い領域は、オーバエッチングされてしまうことが非常によくあることである。オーバエッチングはシールドを損傷してしまうことがあり、将来生じるであろう意図していない被覆が処理すべき基板上へと流れ落とされてしまうことがある。基板上へ粒子が流れ落ちてしまうと、その基板に欠陥を生じさせてしまい、その基板が正常に動作しないものとなってしまうことがある。従って、汚染制御は、半導体デバイス、フラットパネルディスプレイ及び太陽光発電パネルの製造にとって重要な問題である。
前述の例はPVDチャンバのシールドに関するものであるが、(シャドーリング、コンタクトリング、クランプリング、基板支持体、シャワーヘッド、フェイスプレート等のような)他のチャンバ構成部分に、他の堆積処理(化学気相堆積(CVD)及び電気めっきを含む)により、意図していない被覆が蓄積されてしまい、定期的に現場外クリーニングが必要とされることがあることが理解されよう。同様に、(反応性イオンエッチング、スパッタエッチング及び電解エッチングのような)エッチング処理によっても、チャンバ構成部分にエッチング副生物である膜が蓄積させられてしまうことがあり、現場外クリーニングが必要とされることがある。
本発明は、処理装置の表面から被覆をクリーニングするための方法及び装置を提供する。本発明者等は、処理装置のある部分へクリーニング化学剤をスプレーする方が、その部分をクリーニング化学剤内へ浸漬させるよりも、その処理装置部分のクリーニングが増強されうることを発見した。この増強されたクリーニングは、それら部分をあまりエッチングしてしまうことなく且つその部分の意図した被覆をあまりエッチングしてしまうことなく、行われる。クリーニング化学剤をその部分へスプレーした後に続いて、その部分は、例えば、脱イオン化水で圧力洗浄され、それから、水酸化カリウムで処理される。最後に、その部分は、脱イオン化水で再すすぎ洗いすることができる。
図1Aから図1Eは、本発明の実施形態のうちの1つによる処理膜被覆された構成部分をクリーニング(又は再生)するための方法を概略的に例示している。
図1Aにおける出発点は、チャンバ構成部分102であることができる。チャンバ構成部分は、アルミニウム、ステンレス鋼又はセラミックで形成されている場合がある。この実施例の場合には、このチャンバ構成部分は、アルミニウムで形成されているとすることができる。
典型的に、新しいチャンバ構成部分102は、意図的に、被覆104で覆われている。この被覆104は、ここでは、「意図的被覆」と称する。この被覆は、例えば、保護層又はプラズマ環境に対して両立性のあるものとするために適切な電気的特性をその構成部分に与えるような層である。更に又、この被覆は、チャンバ内の基板の汚染を最少とするものであってよい。1つの意図的被覆104は、例えば、アルミニウム、銅、ニッケル、モリブデン、又は亜鉛のツインワイヤアークスプレー(「TWAS」)被覆である。その他の意図的被覆を使用することもできる。その名称が示すように、TWAS被覆処理は、電気アークを形成する2つのワイヤを含む。そのアーク金属ワイヤから生ずる溶融金属は、圧縮空気により噴霧され、被覆を形成するため構成部分へスプレーされる。その結果意図的に被覆された構成部分は、その構成部分へのPVD物質の付着を促進させるような粗さを有している場合がある。これは、意図していないのに被覆されたPVD物質が破砕して基板の表面を汚染してしまうようなことを防止するように作用することがある。前述したような他の構成部分もまた、TWAS処理により被覆することができる。同様に、他の処理物質(例えば、前述したように、CVD膜、及びエッチング副生物等の如き)の付着は、TWAS又は他の意図的被覆によって増強される。
次の層は、蓄積された処理物質層又は意図していない被覆106である。装置及び/又は装置において行われる処理に依存して、意図していない被覆物質は変化する。PVD装置における通常の蓄積処理膜としては、銅(Cu)、ルテニウム(Ru)、アルミニウム(Al)、チタン(Ti)、及び/又は窒化チタン(TiN)、タングステンチタン(TiW)及びタンタル(Ta)及び/又は窒化タンタル(TaN)がある。エッチング装置上の意図していない被覆は、通常、ポリマーである。CVDチャンバ上の意図していない被覆は、二酸化ケイ素、窒化ケイ素、酸窒化ケイ素、シリコンカーボン、ドープされた酸化ケイ素、酸素処理されたシリコンカーボン膜(しばしば、SiCOHと称される)である。
図1Bにおいて、第1のクリーニング又は再生ステップが行われる。ここでは、意図的被覆又は膜104及び意図していない被覆又は膜106を有するチャンバ構成部分102は、クリーニング化学剤スプレーに対して曝される。このクリーニング化学剤スプレーは、意図的被覆104及び/又はチャンバ構成部分物質自体に比較して、意図していない被覆106の方を優先的に除去又はエッチングする。PVDシールドの実施例の場合には、意図していない被覆106は、TaN/Taであり、意図的層104は、アルミニウムチャンバ構成部分102上のTWAS堆積アルミニウム層である。クリーニング化学剤をその構成部分へスプレーすると、その構成部分をそのクリーニング化学剤へ浸漬するのと違って、幾つかの効果が得られる。第一に、スプレーでは、化学剤の方向をより容易に制御することができる。従って、厚い意図していない被覆を有する領域(PVDシールドの上部の如き)を、薄い意図していない被覆の領域よりも、長い時間に亘って化学剤に対して曝すようにすることができる。これにより、意図していない被覆の厚い領域は、意図していない被覆の薄い領域をオーバエッチングすることなく、完全に又は実質的にクリーニングすることができる。例えば、薄い意図していない被覆を除去するには30分しか要しないが、厚い又は濃い意図しない被覆を除去するには約2時間も掛かるのである。その上、化学剤をスプレーする場合には、化学剤浴へ浸漬する場合に使用するよりも少ししか化学物質(自動スプレーシステムを介して収集されリサイクルされる)を使用しないで済むのである。例えば、スプレー処理は、数ガロンの化学剤しか使用しないが、浴は20ガロンもの化学剤を使用してしまう。スプレー処理は、浸漬浴処理よりも少ししか熱を生成せず、従って、スプレー処理は、より安全であり、スプレーシステムにおいて深冷器を使用する必要がなくなる。
本発明のある実施形態では、意図していない被覆を蓄積していない、チャンバ構成部分の一部一部を、マスクしておき、クリーニング化学剤スプレーがそのチャンバ構成部分又は意図的被覆をエッチングしないようにすることができる。
スプレー化学剤は、意図していない膜106、意図的膜104及び基板102の本性に依存して変わる。AlのTWAS層及びTaN/Taの意図していない被覆を有するPVD Alシールドの実施例の場合には、効果的な選択化学剤は、15:85の比のフッ化水素(HF)と硝酸(HNO)、又は同じ比の塩化水素(HCl)と硝酸(HNO)である。硝酸は、商業用等級のものでよく、これにより、コスト的メリットも得られる。その比は、ある程度変えることができ、Al被覆又は構成部分からTaN/Taを選択的に取り除くためには、20:80の比の同じ成分としても効果的である。
堆積チャンバクリーニングにおける当業者であれば、意図していない被覆106、意図的被覆104及び基板102を形成している物質の性質に基づいてチャンバクリーニングスプレー化学剤を選択することができよう。
ステップ1Bにおける処理の完了後は、意図していない被覆106の全てではないとしても大部分が厚く蓄積された領域(例えば、ソース/ターゲット近くのシールドの上部)から除去されているが、薄く被覆された領域はオーバエッチングされていない。
ステップ1Cにおいて、その構成部分は、クリーニング化学剤を除去し、且つ、多分、残っている意図していない被覆106(例えば、TaN/Ta)のあるもの(もし、存在しているならば)を除去するため、脱イオン化水で加圧洗浄される。この圧力洗浄ステップの圧力は、500ポンド/平方インチから2000ポンド/平方インチまでの広い範囲において変えることができる。しかしながら、大抵の適用例においては、1000ポンド/平方インチが十分であると思われる。
図1Dにおいて、意図的被覆104(例えば、TWAS Al)が、希釈水酸化カリウム(KOH)混合剤で除去される。希釈の程度は、約6%KOHから25%KOHまでの範囲で変化できる。このKOHは、その構成部分へスプレーされてもよいし、又は、その構成部分をKOHの浴に浸漬してもよい。同様に、意図していない被覆106のなにがしかがチャンバ構成部分102上に残っているならば、このKOHステップは、その断片化された意図していない被覆106をアンダーカットすると思われる。このようなアンダーカッティングは、残りの断片化された意図していない被覆106のいずれをも除去するように作用することができる。PVDシールドのサイズの、構成部分の場合には、希釈KOH処理にてその意図的被覆104を除去するのに約60分から90分を要すると思われる。この構成部分は、このKOH処理に続いて、約40ポンド/平方インチの脱イオン化水で洗浄される。
図1Eにおいて、そのクリーニングされた構成部分(例えば、PVDシールド)は、その構成部分を処理チャンバに再設置することができるように、グリットブラストして新しい意図的層104(PVDシールドの実施例ではTWAS Al)を付与できる状態とされている。このようなグリットブラスト及び意図的層の堆積の詳細については、2003年7月17日に出願されたPopiolkokwski氏等による共有の米国特許第6,812,471号明細書及び2002年3月13日に出願されたPopiolkokwski氏等による米国特許第6,933,508号明細書に見出すことができ、これら明細書の記載は、あらゆる目的のため、ここにそのまま援用される。
図1Aから図1Eは、ある構成部分の表面に対する本発明のクリーニング方法及びその作用効果を例示している。図2は、本発明のクリーニング方法200の一実施形態のフロー図である。この方法200は、ステップ202で開始される。ステップ204において、クリーニングすべき構成部分を準備し、この構成部分は、意図していない被覆を有しているものである。その意図していない被覆は、図1Aから図1Eの層106に相当するものである。このクリーニング処理は、再生処理又はストリッピング処理とも考えられる。この構成部分は、その上に配設されていてその意図していない被覆の下にある意図的被覆をも有している。この意図的被覆は、図1Aから図1Eに関して述べたような層104に相当する。
図2のステップ206において、意図していない被覆を有するこの構成部分は、クリーニング化学剤でスプレーされる。このクリーニング化学剤は、意図的被覆104よりも意図していない被覆106の方をより速くエッチングするような選択性化学剤であってもよい。別の仕方として、もし、意図的被覆104が存在しない場合には、その選択性化学物質は、その構成部分よりも意図していない被覆の方をより速くエッチングする。使用される化学剤は、図1Bに関して説明したのと同じである。ステップ206の後には、その蓄積された層の全てではないとしても殆どがその構成部分から除去されている。
ステップ208において、その構成部分は、高圧脱イオン化水でスプレーされる。このような圧力洗浄により、ステップ206による化学剤が除去され、ステップ206の後で残っていた意図していない被覆物質のいずれもがばらばらとされ取り除かれる。
ステップ210において、その構成部分は、希釈KOH混合剤に曝される。この混合剤の濃度は、図1Dに関して説明したようなものである。この混合剤は、その構成部分にスプレーされてもよいし、又は、その構成部分をKOHの浴に浸漬させてもよい。もし、スプレー法が使用される場合には、同じ装置において、そのKOH処理を行うことができる。別の仕方として、その構成部分は、KOH処理(スプレー又は浴)を受けるため別の装置へ移される。このKOH処理により、その構成部分から意図的被覆が取り除かれる。もし、その構成部分が意図的被覆(図1の104)を有していない場合には、このステップ210は省略される。
ステップ212において、その構成部分は、KOHによる処理に続いて脱イオン化水ですすぎ洗いされる。
最後に、ステップ214において、その新たにクリーニングされた構成部分は、再生される状態となっている。その再生処理は、その構成部分のグリットブラスト及び新しい意図的被覆の付与を含む。これら再生処理については、2003年7月17日に出願されたPopiolkokwski氏等による共有の米国特許第6,812,471号明細書及び2002年3月13日に出願されたPopiolkokwski氏等による米国特許第6,933,508号明細書により詳細に論じられており、これら明細書は、前述にてここに援用されている。
図3は、チャンバ構成部分がクリーニング化学剤でスプレーされている間、そのチャンバ構成部分の温度を制御するための本発明の方法300を示すフロー図である。このようなスプレーステップの実施例は、図2のステップ206である。この方法は、ステップ302で開始される。ステップ304において、そのチャンバ構成部分は、初期流量にてクリーニング化学剤でスプレーされる。その初期流量は、オペレータの判断に基づいて決定されてもよいし、又は、同様のクリーニング化学剤による同様の構成部分に対するスプレーの以前の経験に基づいて決定されてもよい。
ステップ306において、チャンバ構成部分の温度が測定される。別の仕方として、クリーニング化学剤がクリーニングタンクから排出されるときのそのクリーニング化学剤の温度を測定してもよい。ステップ308において、その測定温度が、ターゲット温度又は温度範囲と比較される。そのターゲット温度又は範囲は、意図していない被覆106のエッチング割合を増大し、意図的被覆104及び/又はチャンバ構成部分物質102のエッチング割合を減少させるように選択することができる。別の言い方をすれば、ターゲット温度範囲は、意図していない被覆106の許容できる高いエッチング割合と、意図的被覆104及び/又はチャンバ構成部分物質102の許容できる低いエッチング割合と、を達成するように選択することができる。従って、所定のクリーニング化学剤について、2つの曲線を描くことができ、その一方の曲線は、意図していない被覆のエッチング割合を温度に対してプロットするものであり、他方の曲線は、意図的被覆及び/又はチャンバ構成部分物質のエッチング割合を温度に対してプロットするものである。それから、意図していない被覆106、意図的被覆104及びチャンバ構成部分物質102の許容できるエッチング割合を与えるような温度範囲が選択される。
もし、ステップ308においてその測定温度がそのターゲット温度範囲よりも高いと分かる場合には、この方法は、ステップ310へ移行し、そこで、そのクリーニング化学剤の流量が減少され、チャンバ構成部分へのスプレーが続けられる。それから、この方法は、ステップ306へ戻り、そこで、温度の再測定が行われる。
ステップ308において、もし、温度がターゲット温度範囲より高くないと分かる場合には、この方法は、ステップ312へと移行し、そこで、その測定温度が再びターゲット温度範囲と比較される。もし、その測定温度がステップ312においてターゲット温度範囲より低いと分かる場合には、この方法は、ステップ314へ移行する。ステップ314において、クリーニング化学剤の流量が増大され、チャンバ構成部分へのスプレーが続けられる。ステップ314から、この方法は、ステップ306へと戻り、そこで、温度の再測定が行われる。
もし、ステップ312において、測定温度がターゲット温度範囲内であると分かる場合には、この方法は、ステップ316へと移行し、そこで、クリーニング化学剤でチャンバ構成部分にスプレーするステップが完了であるかの決定がなされる。クリーニング化学剤でチャンバ構成部分にスプレーするステップが完了であるかの判断は、スプレーの持続時間、チャンバ構成部分の観測、又はチャンバ構成部分の意図していない被覆106の全て又は相当部分がクリーニングされたかを決定する他の任意の適当な方法に基づいて行うことができる。
もし、ステップ316において、クリーニング化学剤スプレーステップが完了されていないと決定される場合には、この方法は、ステップ318へ移行し、そこで、クリーニング化学剤による構成部分に対するスプレーが続けられる。それから、この方法は、ステップ306へ移行し、この方法は、クリーニング化学剤スプレーが完了したとステップ316にて決定されるような時まで続けられる。この時、この方法はステップ320へ移行し、そこで、この方法は終了する。
図3の方法300は、図2の方法200におけるステップ206を行うのに使用することができる。
図4は、本発明のチャンバ構成部分スプレー装置400の概略図である。このスプレー装置400は、ここで説明したどのスプレーステップを行うのに使用することができる。このスプレー装置400は、図4に示したようにクリーニングすべき構成部分404を完全に包囲するタンク402又はそれと同様のものであってよい。別の仕方として、この装置は、その上方にヒュームフードを有する開放タンクであってもよい。タンク402は、チャンバ構成部分が大きい構成部分である場合には、その1つのチャンバ構成部分を一度にクリーニングするのに使用することができる。別の仕方として、タンク402は、複数の構成部分の各々がこのタンク402内に入るに十分に小さく且つそれら複数の構成部分が互いに干渉せずにクリーニング化学剤で効果的にスプレーされるように十分に小さい場合には、それら複数の構成部分を同時にクリーニングするのに使用することができる。このスプレー装置400は、構成部分保持装置406を含むことができる。この構成部分保持装置406は、フック、タブ、棚又はチャンバ構成部分を保持するに適した任意の装置であってよい。この構成部分保持装置406は、チャンバ構成部分をクリーニングするのに使用されるクリーニング化学剤に対して不浸透性又は耐性のある任意の物質で形成することができる。
このスプレー装置400は、タンク402の左側及び右側に沿って配設されているように図4に示されたようなスプレーノズル408を含むことができる。これらスプレーノズル408は、タンク402の内部表面に配設されてもよいし、又は、別の仕方として、これらスプレーノズル408は、タンク402内に吊り下げられてもよいことは理解されよう。これらスプレーノズル408は、クリーニング化学剤供給源410に接続され、そして、これらクリーニング化学剤供給源410は、クリーニング化学剤リサイクル導管412に接続されてもよい。この導管412は一方のクリーニング化学剤供給源410にのみ接続されているように示されているのであるが、他方に示したクリーニング化学剤供給源410に対しても同様の接続をなすことができることは理解されよう。1つ以上のクリーニング化学剤供給源410を使用することができる。
導管412は、ポンプ414に接続され、そして、このポンプ414は、導管416及びドレイン418に接続される。このスプレー装置400は、チャンバ構成部分404の温度及び/又はドレイン418へ流れるクリーニング化学剤の温度を測定するように適応されたセンサ420を含むこともできる。このセンサ420は、信号ライン422を通してコントローラ424へ接続される。コントローラ424は、信号ライン426を通してノズル408に接続される。信号ライン426は単一のノズル401に接続するように図示されているが、この信号ライン426は、ノズル408のうちのいずれか及び全てに接続されてもよいことは理解されよう。
動作において、チャンバ構成部分404は、構成部分保持装置406により所定位置に吊り下げられるか又は保持される。チャンバ構成部分が取り付けられた時、オペレータ又はコントローラは、ノズル408からクリーニング化学剤をそのチャンバ構成部分に対してスプレーさせ始める。構成部分保持装置406は、そのチャンバ構成部分404の全ての側部にクリーニング化学物質がスプレーされるようにそのチャンバ構成部分404を回転させる回転装置(図示していない)に接続することもできる。クリーニング化学剤は、タンク402の底部へと落ちていき、ドレイン418に収集され、このドレイン418から、そのクリーニング化学剤は、ポンプ414により導管416を通して送り出されていく。クリーニング化学剤は、導管412を通して直接にクリーニング化学物質供給源410へ送られてもよく、又は、クリーニング化学物質供給源410へ戻される前に、先ず、ろ過及び/又は再調整されてもよい。
スプレー動作中、チャンバ構成部分404の温度は、センサ420により測定され、信号ライン422を介してコントローラ424へ通知される。もし、チャンバ構成部分404の温度がターゲット温度又は温度範囲を超えている場合には、コントローラは、ノズル408からのクリーニング化学剤の流量を減少させるように命令する。同様に、もし、チャンバ構成部分404の温度がターゲット温度又は温度範囲より下がっている場合には、コントローラは、ノズル408からのクリーニング化学剤の流量を増大させるように命令する。前述したように、チャンバ構成部分404の温度は、直接的に測定することができる。また、チャンバ構成部分の温度は、タンク402の底部へと降下してくるクリーニング化学物質の温度を測定することにより間接的に測定することもできる。
もし、チャンバ構成部分404の温度が、単にクリーニング化学剤の流量を増大するだけでは許容温度範囲内へと上昇され得ない場合には、そのクリーニング化学剤をクリーニングチャンバ404へスプレーする前に予熱すると良い。
これらノズル408は、意図していない被覆106の量がより多いチャンバ構成部分404の各部分にはより多くのクリーニング化学剤が与えられ、意図していない被覆106の量がより少ないチャンバ構成部分の各部分にはより少ないクリーニング化学剤が与えられるようにするため、まとめて又は個々に制御される。
図5は、本発明の別のスプレー装置500の概略図である。このスプレー装置500は、図4のスプレー装置400と実質的に同様であるが、次の点で相違している。このスプレー装置500においては、チャンバ構成部分404は、構成部分保持装置406によって吊り下げられたり及び/又は上昇させられたりしない。その代わりに、チャンバ構成部分404は、ターンテーブル502上に置かれる。このターンテーブル502は、チャンバ構成部分404を回転するのに使用される。
動作において、スプレー装置500は、図4のスプレー装置400と同様に作動されるが、次の点で相違している。図5のスプレー装置500においては、チャンバ構成部分404は、構成部分保持装置406から吊り下げられるのでなく、ターンテーブル502上に置かれる。このターンテーブル502は、回転して、チャンバ構成部分404を回転させて、ノズル408からスプレーされるクリーニング化学剤がチャンバ構成部分404の全ての外側部分に達するようにする。
図6は、本発明の更に別のスプレー装置600の概略図である。このスプレー装置600は、図5のスプレー装置500と実質的に同様であるが、次の点で相違している。このスプレー装置600は、内部スプレーアセンブリ602を有している。この内部スプレーアセンブリ602は、導管/支持部材606を介してノズル608に接続されるクリーニング化学剤源604を含む。ノズル608は、ノズル408と同様でよい。ノズル608は、導管/支持部材606に移動及び/又は回転可能に取り付けられている。その上、又は別の仕方として、その導管/支持部材606は、ノズル608を垂直及び/又は回転移動させるように適応されている。1つのノズル608のみ図示しているのであるが、複数のノズルを使用し導管/支持部材606に取り付けることもできることは理解されよう。
コントローラ424は、制御ライン610によりクリーニング化学剤源604に接続される。
図6に示したスプレー装置600は図5のスプレー装置500に基づくことができるのであるが、図4のスプレー装置400も同様に変更できることは理解されよう。従って、スプレー装置400は、構成部分保持装置406がチャンバ構成部分404を回転している間にチャンバ構成部分404の内部にクリーニング溶液をスプレーするように適応された内部スプレーアセンブリ602を含むように変更することができる。
動作において、スプレー装置600は、図4のスプレー装置400及び図5のスプレー装置500と同様に作動するが、ここに説明するような付加的機能をも有している。図6のスプレー装置600は、ノズル608を通してチャンバ構成部分404の内部部分へクリーニング化学物質をスプレーすることができる。クリーニング化学剤は、クリーニング化学剤源604から導管606を通してノズル608へと流れる。内部スプレーアセンブリ602は、ノズル408とは独立して作動することができる。別の仕方として、内部スプレーアセンブリ602は、ノズル408と関連して作動することもできる。
スプレー動作中、ノズル608は、回転し、チャンバ構成部分404の全ての内部部分がクリーニング組成物でスプレーされるようにする。ノズル608の回転は、導管/支持部材606を回転させることにより又は任意の他の適当な方法により行うことができる。また、ノズル608は、導管/支持部材606を上昇又は下降させることにより、又は任意の他の適当な方法により、垂直方向に移動させることもできる。
図7は、本発明の更に別のスプレー装置700の概略図である。このスプレー装置700は、このスプレー装置700は、次の点を除いて、図4のスプレー装置400と実質的に同様である。図4のスプレーノズル408はタンク402の内部壁部に取り付けられているが、図7のノズル408は、アーム702に取り付けられる。アーム702は、タンク402の底部の周りに延びるロボットアーム(図示していない)又はトラック(図示していない)に取り付けられる。従って、アーム702は、クリーニング化学剤が複数の方向からチャンバ構成部分404の全ての外部部分に達するようにするため、チャンバ構成部分の周りに移動される。このスプレー装置700は、導管706を通してアーム702に接続されるクリーニング化学剤源704をも含む。その導管706は、アーム702がタンク402の内側の周りに移動できるようにするため、可撓性ホースであるとよい。
図7において、このアームは、装置の左側に示されている。この位置から、アームは、装置の1つの側から別の側へと直線的に移動することができ、又は、アームは、同じ位置にあって回転することができる。更に又、アームは、装置を横切って直線的に移動し、同時に、その軸の1つにて回転することができる。装置の側部に加えて装置の上部又は底部にも可動アームを配設することができる。また、装置内に静止ノズルと可動ノズルとを組み合わせて設けることも可能である。異なるノズル又はノズルのセットを独立して制御することができる。このような独立制御とすることで、ノズル又はノズルのセットは、構成部分をより効果的にクリーニングするため、厚く蓄積された領域をより長い時間に亘って処理し又は厚く蓄積された領域をより高い化学剤流量でもって処理することができるようになる。効果的なクリーニングは、オーバエッチングすることなく意図していない物質を除去すると共に、可能な最も短い時間にてクリーニングを行えるものである。更に又、このスプレー装置は、スプレーされた化学剤を装置の底部から収集して、それをリサイクルのため1つ以上のポンプでもって送り出すこともできる。
添付図面はタンク402の底部が平坦なものとして示しているが、タンク402の底部は、化学剤を収集し易くするように傾斜させ又はその他の構成とすることができる。そのリサイクルされる化学物質は、直ぐにその処理において再使用されても良いし、ろ過(化学的及び/又は機械的に)してから直ぐに再使用されても良いし、又は再使用前に処理のためのリサイクリングステーションへと送られても良い、
動作において、図7のスプレー装置700は、図4のスプレー装置400と同様に作動するが、次の点で相違している。図4においては、チャンバ構成部分404は、ノズル408がチャンバ構成部分404の外部の全ての部分に達するように回転されている。図7においては、チャンバ構成部分404は、構成部分保持装置406により静止保持されており、アーム702に取り付けられたノズル408が横方向、垂直及び/又は回転移動させられることにより、チャンバ構成部分404の全ての外部部分に達するようにされている。
前述した実施形態の全てにおいて、ノズル408は、より多い又はより少ない意図していない被覆106を有するチャンバ構成部分404の各部分に対してより多くの又はより少ないクリーニング化学剤がスプレーされるように、独立して制御される。
前述の説明は本発明の典型的な実施形態のみについてなされている。本発明の範囲内に入る前述の装置及び方法の変形態様は、当業者には容易に明らかであろう。
本発明の実施形態のうちの1つによる処理膜で被覆された構成部分をクリーニング又は再生するための方法を概略的に例示している。 本発明の実施形態のうちの1つによる処理膜で被覆された構成部分をクリーニング又は再生するための方法を概略的に例示している。 本発明の実施形態のうちの1つによる処理膜で被覆された構成部分をクリーニング又は再生するための方法を概略的に例示している。 本発明の実施形態のうちの1つによる処理膜で被覆された構成部分をクリーニング又は再生するための方法を概略的に例示している。 本発明の実施形態のうちの1つによる処理膜で被覆された構成部分をクリーニング又は再生するための方法を概略的に例示している。 本発明のクリーニング方法のフロー図である。 クリーニング化学剤のスプレー中にチャンバ構成部分温度を制御する方法のフロー図である。 本発明のチャンバ構成部分スプレー装置の概略図である。 本発明の別のチャンバ構成部分スプレー装置の概略図である。 本発明の別のチャンバ構成部分スプレー装置の概略図である。 本発明の別のチャンバ構成部分スプレー装置の概略図である。
符号の説明
102…チャンバ構成部分、104…意図的被覆、106…意図していない被覆、400…チャンバ構成部分スプレー装置、402…タンク、404…クリーニングすべき構成部分、406…構成部分保持装置、408…スプレーノズル、410…クリーニング化学剤供給源、412…導管、414…ポンプ、416…導管、418…ドレイン、420…センサ、422…信号ライン、424…コントローラ、426…信号ライン、500…スプレー装置、502…ターンテーブル、600…スプレー装置、602…内部スプレーアセンブリ、604…クリーニング化学剤源、606…導管/支持部材、608…ノズル、610…制御ライン、700…スプレー装置、702…アーム、704…クリーニング化学剤源、706…導管

Claims (10)

  1. 電子装置製造処理チャンバ部分をクリーニングするための方法において、
    上記部分を酸でスプレーするステップと、
    上記部分を脱イオン化水でスプレーするステップと、
    上記部分を水酸化カリウムで処理するステップと、
    を備え、
    上記部分を酸でスプレーするステップは、上記部分の温度を測定し、上記測定された温度を望ましい温度と比較することと、上記部分の温度を上記望ましい温度に合わせるためスプレーされる酸の流量を変化させることとを含み、
    上記望ましい温度は、部分クリーニング割合と部分エッチング割合との好ましい比を与えるように選択される、方法。
  2. a) 上記部分の温度を上げるために酸の流量を増大するステップ、
    b) 上記部分の温度を下げるために酸の流量を減少するステップ、
    の何れか一方のステップを更に含む、請求項1に記載の方法。
  3. 上記部分を酸でスプレーするステップは、上記部分の一部一部のうち、クリーニングすべき物質がより多く被覆されている一部の方へ優先的に上記スプレーを向けるようにすることを含む、請求項1に記載の方法。
  4. 電子装置製造処理チャンバ部分をクリーニングするための方法において、
    上記部分を酸でスプレーするステップと、
    上記部分を脱イオン化水でスプレーするステップと、
    上記部分を水酸化カリウムで処理するステップと、
    を備え、
    上記部分は、意図的に堆積された被覆を含み、上記部分を酸でスプレーするステップは、上記部分の温度を測定し、上記測定された温度を望ましい温度と比較することと、上記部分の温度を上記望ましい温度に合わせるためスプレーされる酸の流量を変化させることとを含み、上記望ましい温度は、部分クリーニング割合と意図的被覆エッチング割合との好ましい比を与えるように選択される、方法。
  5. 上記酸は、上記部分を上記酸でスプレーする前に加熱される、請求項1に記載の方法。
  6. 上記部分の一部は、上記部分を上記酸でスプレーする前にマスクされる、請求項1に記載の方法。
  7. 上記部分を脱イオン化水でスプレーするステップは、約500ポンド/平方インチと約2000ポンド/平方インチとの間の圧力で上記水をスプレーすることを含む、請求項1に記載の方法。
  8. 電子装置製造処理チャンバの構成部分のためのスプレー式クリーニング装置において、 支持部材と、
    上記支持部材に取り付けられたスプレーノズルと、
    クリーニング化学剤供給源と、
    クリーニング化学剤を上記クリーニング化学剤供給源から上記スプレーノズルへと搬送するように適応された導管と、
    を備え、
    上記支持部材に取り付けられた上記スプレーノズルは、回転し且つ直線的に移動するように適応され、更に、複数の方向から、クリーニング化学剤のスプレーを、電子装置製造処理チャンバ構成部分の内部へと向けるように適応され、
    上記チャンバ構成部分の温度を測定するように適応された温度センサと、
    上記チャンバ構成部分の温度を所定の温度範囲と比較するように適応され且つ更に上記スプレーノズルによりスプレーされるクリーニング化学剤の流量を変化させるように適応されたコントローラと、を更に備えた、スプレー式クリーニング装置。
  9. 上記コントローラは、上記チャンバ構成部分の温度を上げるためクリーニング化学剤の流れを増大するように適応される、請求項に記載のスプレー式クリーニング装置。
  10. 上記コントローラは、上記チャンバ構成部分の温度を下げるためクリーニング化学剤の流れを減少するように適応される、請求項に記載のスプレー式クリーニング装置。
JP2008169157A 2007-06-28 2008-06-27 選択スプレー式エッチングを使用して堆積チャンバ部分をクリーニングするための方法及び装置 Active JP5596909B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94698307P 2007-06-28 2007-06-28
US60/946,983 2007-06-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014162853A Division JP5935174B2 (ja) 2007-06-28 2014-08-08 選択スプレー式エッチングを使用して堆積チャンバ部分をクリーニングするための方法及び装置

Publications (3)

Publication Number Publication Date
JP2009007674A JP2009007674A (ja) 2009-01-15
JP2009007674A5 JP2009007674A5 (ja) 2011-08-11
JP5596909B2 true JP5596909B2 (ja) 2014-09-24

Family

ID=39765057

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008169157A Active JP5596909B2 (ja) 2007-06-28 2008-06-27 選択スプレー式エッチングを使用して堆積チャンバ部分をクリーニングするための方法及び装置
JP2014162853A Active JP5935174B2 (ja) 2007-06-28 2014-08-08 選択スプレー式エッチングを使用して堆積チャンバ部分をクリーニングするための方法及び装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014162853A Active JP5935174B2 (ja) 2007-06-28 2014-08-08 選択スプレー式エッチングを使用して堆積チャンバ部分をクリーニングするための方法及び装置

Country Status (9)

Country Link
US (2) US20090000641A1 (ja)
EP (2) EP2011897B8 (ja)
JP (2) JP5596909B2 (ja)
KR (1) KR101027612B1 (ja)
CN (2) CN101342534B (ja)
AT (1) ATE518018T1 (ja)
SG (2) SG148975A1 (ja)
TW (1) TWI479559B (ja)
WO (1) WO2009005742A1 (ja)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
US20090000641A1 (en) 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch
JP5169669B2 (ja) 2007-11-02 2013-03-27 株式会社デンソー 燃圧検出装置、及び燃圧検出システム
EP2082814B1 (en) * 2008-01-25 2011-04-27 Mitsubishi Materials Corporation Reactor cleaning apparatus
US8580332B2 (en) * 2009-09-22 2013-11-12 Applied Materials, Inc. Thin-film battery methods for complexity reduction
EP2363136A1 (en) 2010-03-02 2011-09-07 Fresenius Medical Care Deutschland GmbH Microvesicles (MVs) derived from adult stem cells for use in the therapeutic treatment of a tumor disease
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102189874B1 (ko) * 2013-10-07 2020-12-11 세메스 주식회사 기판 처리 장치, 그리고 기판 처리 장치를 이용한 기판 처리 방법
US9903020B2 (en) * 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105296927A (zh) * 2015-10-29 2016-02-03 云南汇恒光电技术有限公司 一种光学真空镀膜机内腔清洁方法
US9999907B2 (en) 2016-04-01 2018-06-19 Applied Materials, Inc. Cleaning process that precipitates yttrium oxy-flouride
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102007950B1 (ko) * 2017-10-30 2019-08-06 주식회사 싸이노스 내성코팅층 제거방법
CN109904054B (zh) * 2017-12-08 2021-08-13 北京北方华创微电子装备有限公司 腔室环境恢复方法及刻蚀方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108517494A (zh) * 2018-05-22 2018-09-11 桑尼光电技术(安徽)有限公司 一种光学真空镀膜机内腔清洁方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11377745B2 (en) * 2018-08-21 2022-07-05 Oerlikon Surface Solutions Ag, Pfäffikon Stripping of coatings Al-containing coatings
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109365382B (zh) * 2018-10-25 2024-03-12 广东西江数据科技有限公司 一种服务器清洗设备及其清洗方法
KR102620219B1 (ko) 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
RU2711066C1 (ru) * 2019-03-05 2020-01-15 федеральное государственное автономное образовательное учреждение высшего образования "Южный федеральный университет" (Южный федеральный университет) Способ электрохимического осаждения легированных атомами переходных металлов кремний-углеродных пленок на электропроводящие материалы
US20200354831A1 (en) * 2019-05-07 2020-11-12 Alta Devices, Inc. Methods and systems for cleaning deposition systems

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE312955T1 (de) * 1996-05-21 2005-12-15 Applied Materials Inc Verfahren und vorrichtung zum regeln der temperatur einer reaktorwand
JP3870002B2 (ja) * 2000-04-07 2007-01-17 キヤノン株式会社 露光装置
US6505636B1 (en) * 2000-06-26 2003-01-14 Lam Research Corporation Apparatus for wafer carrier in-process clean and rinse
JP2002292346A (ja) * 2001-03-29 2002-10-08 Sharp Corp 付着膜回収装置および付着膜の回収方法
US6977796B2 (en) * 2002-02-08 2005-12-20 Headway Technologies, Inc. Wiring pattern and method of manufacturing the same and thin film magnetic head and method of manufacturing the same
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6933508B2 (en) * 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries
EP1411149A1 (de) * 2002-10-18 2004-04-21 Siemens Aktiengesellschaft Verfahren zum Entfernen eines Schichtbereichs eines Bauteils
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US6770424B2 (en) * 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms
JP4291034B2 (ja) * 2003-04-25 2009-07-08 大日本スクリーン製造株式会社 洗浄装置および基板処理装置
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7077918B2 (en) * 2004-01-29 2006-07-18 Unaxis Balzers Ltd. Stripping apparatus and method for removal of coatings on metal surfaces
US7264679B2 (en) * 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
JP3116197U (ja) * 2004-06-28 2005-12-02 アプライド マテリアルズ インコーポレイテッド プロセス残留物を付着する表面を有する基板処理チャンバー用コンポーネント
US20060046499A1 (en) * 2004-08-20 2006-03-02 Dolechek Kert L Apparatus for use in thinning a semiconductor workpiece
US20060124155A1 (en) * 2004-12-13 2006-06-15 Suuronen David E Technique for reducing backside particles
US7361231B2 (en) * 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US20090000641A1 (en) 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch

Also Published As

Publication number Publication date
EP2011897B8 (en) 2011-09-28
EP2011897A3 (en) 2009-03-04
ATE518018T1 (de) 2011-08-15
KR20090004598A (ko) 2009-01-12
SG148975A1 (en) 2009-01-29
CN101342534B (zh) 2013-08-28
EP2011897A2 (en) 2009-01-07
JP2014210980A (ja) 2014-11-13
WO2009005742A1 (en) 2009-01-08
SG182970A1 (en) 2012-08-30
CN101342534A (zh) 2009-01-14
JP5935174B2 (ja) 2016-06-15
US20090000641A1 (en) 2009-01-01
CN103406300A (zh) 2013-11-27
JP2009007674A (ja) 2009-01-15
TW200908135A (en) 2009-02-16
EP2011897B1 (en) 2011-07-27
EP2383049B1 (en) 2013-08-07
KR101027612B1 (ko) 2011-04-06
EP2383049A1 (en) 2011-11-02
CN103406300B (zh) 2017-11-03
TWI479559B (zh) 2015-04-01
US8691023B2 (en) 2014-04-08
US20130037062A1 (en) 2013-02-14

Similar Documents

Publication Publication Date Title
JP5935174B2 (ja) 選択スプレー式エッチングを使用して堆積チャンバ部分をクリーニングするための方法及び装置
CN108878246B (zh) 用于腔室部件的多层等离子体侵蚀保护
TW594874B (en) Electrochemical edge and bevel cleaning process and system
US7264742B2 (en) Method of planarizing a surface
US9406534B2 (en) Wet clean process for cleaning plasma processing chamber components
JP2008506530A (ja) プラズマ処理チャンバ用の構成要素の石英表面をウェット洗浄する方法
TWI304612B (en) Cleaning tantalum-containing deposits from process chamber components
WO2020028012A1 (en) System and method for chemical and heated wetting of substrates prior to metal plating
JP4398091B2 (ja) 半導体処理装置の部品の洗浄液及び洗浄方法
CN201930874U (zh) 晶圆表面液体喷出装置
JP2017528598A (ja) マスク、キャリア、及び堆積ツールの構成要素から堆積材料を取り除くための剥脱プロセス
US20020048953A1 (en) Chemical mixture for copper removal in electroplating systems
JP2008229449A (ja) 洗浄方法
JP2003037096A (ja) 半導体製造装置のブラスト処理方法
US9797047B2 (en) Copper plating method
CN117813670A (zh) 用于处理腔室部件的先进阻挡氧化镍(BNiO)涂层形成
JP2004343095A (ja) 熱処理装置のクリーニング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100816

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101111

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110627

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110627

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120111

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130307

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130606

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130611

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130708

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130711

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131211

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140311

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140709

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140808

R150 Certificate of patent or registration of utility model

Ref document number: 5596909

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250