TWI304612B - Cleaning tantalum-containing deposits from process chamber components - Google Patents

Cleaning tantalum-containing deposits from process chamber components Download PDF

Info

Publication number
TWI304612B
TWI304612B TW93114915A TW93114915A TWI304612B TW I304612 B TWI304612 B TW I304612B TW 93114915 A TW93114915 A TW 93114915A TW 93114915 A TW93114915 A TW 93114915A TW I304612 B TWI304612 B TW I304612B
Authority
TW
Taiwan
Prior art keywords
solution
metal
acid
cleaning
hydrofluoric acid
Prior art date
Application number
TW93114915A
Other languages
Chinese (zh)
Other versions
TW200522186A (en
Inventor
Karl Brueckner
Hong Wang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/742,604 external-priority patent/US6902627B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200522186A publication Critical patent/TW200522186A/en
Application granted granted Critical
Publication of TWI304612B publication Critical patent/TWI304612B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B3/00Extraction of metal compounds from ores or concentrates by wet processes
    • C22B3/04Extraction of metal compounds from ores or concentrates by wet processes by leaching
    • C22B3/06Extraction of metal compounds from ores or concentrates by wet processes by leaching in inorganic acid solutions, e.g. with acids generated in situ; in inorganic salt solutions other than ammonium salt solutions
    • C22B3/065Nitric acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B34/00Obtaining refractory metals
    • C22B34/20Obtaining niobium, tantalum or vanadium
    • C22B34/24Obtaining niobium or tantalum
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B7/00Working up raw materials other than ores, e.g. scrap, to produce non-ferrous metals and compounds thereof; Methods of a general interest or applied to the winning of more than two metals
    • C22B7/006Wet processes
    • C22B7/007Wet processes by acid leaching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/46Regeneration of etching compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/08Iron or steel
    • C23G1/086Iron or steel solutions containing HF
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/19Iron or steel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/36Regeneration of waste pickling liquors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P10/00Technologies related to metal processing
    • Y02P10/20Recycling

Description

1304612 玖、發明說明: t發明所屬之技術領域3 交互參考資料 本專利申請案是美國專利申請案序列編號 5 1〇/742,604[發明名稱:“Cleaning Chamber Surfaces to1304612 玖, invention description: t technical field to which the invention belongs 3 cross-referenced material This patent application is a US patent application serial number 5 1〇/742,604 [invention name: "Cleaning Chamber Surfaces to

Recover Metal- Containing Compounds”,授權給Brueckner 等人,讓渡給Applied Materials,Inc.,提申日期:2003年12 月19曰]之一個部分延續專利申請案,該案是美國專利申請 案序列編號10/304,535[發明名稱:“Method of Cleaning a 10 Coated Process Chamber Component”,授權給Wang等人, 讓渡給Applied Materials,Inc.,提申日期:2002年 11 月 25 曰] 之一個部分延續專利申請案,兩案之全部揭露内容皆在此 併入本案做為參考資料。 發明背景 15 本發明係關於用以自加工室組件表面清除及回收含金 屬殘基。 L lltT Jt 於基材(例如:半導體晶圓及顯示器)加工中,一基材是 以該基材被置放於一加工室内來進行曝露一種激發氣體, 20且藉此於基材上(例如)沉積材料或蝕刻圖案。於此種加工期 間,所產生的加工殘基會沉積於腔室内部表面。例如:於 濺鍍沉積加工中,一來自靶材且供用以喷濺於一基材上之 沉積材料亦會沉積於腔室内的其他組件表面,例如:沉積 於沉積環、套環、遮蓋環、内緣擒板、頂緣擒板、襯壁及 1304612 對焦環。於接續加工週期中,該沉積之殘基會“飄散離開” 腔室組件表面,其後掉落於基材上而污染基材。因此,必 須定期地自腔室表面清除沉積加工殘基。 然而,自加工組件清除該包含金屬(例如:组)之加工沉 5 積物是困難的,特別是當組件是以包含金屬之材料製成 時。當鈕被喷濺沉積於基材上,某些喷濺之鈕會沉積於鄰 近之腔室組件上。由於適用之清除溶液亦通常對其他金屬 (例如:用以製造加工組件之鈦)具有反應性,因此這些钽加 工沉積物是難以移除的。自此種表面清除含钽材料會導致 10 蝕刻組件及需要經常更新組件。就清除紋理金屬表面(例 如:以一種“岩聚TM”加工形成之表面)而言,餘刻金屬表面 會特別具有問題。這些表面係由於具有困陷含钽加工殘基 之凹陷及孔洞,而導致難以使用傳統清除方法來移除這些 殘基。 15 當使用傳統清除方法來清除钽時,無法回收這些清除 加工所產生之呈一數量之含组材料。據估計於多種组沉積 加工中,喷濺钽材料只有半數沉積於基材上,其餘皆沉積 於腔室組件表面上。傳統清除方法通常是將所使用之清洗 溶液連帶溶解之钽材料一併棄置。因此,於清除腔室表面 20 之後會廢棄大量的鈕材料,導致據估計每年钽損失是大約 30,000英磅。由於钽的價格昂貴且必〜使用新配製的清洗溶 液,因此钽棄置處理是不利於環境保護且高成本。 於一實例中,所欲是於基材加工期間能夠使用具有銅 表面之加工腔室組件。銅表面展現較低之熱梯度,且因此 1304612 能力令銅表面與所有沉積於表面之殘基之間的壓力降至最 低。然而,由於自此種表面清除加工殘基非常困難,因此 難以施行使用具有銅表面之組件。這部分是因為銅表面是 典型非常容易受蝕刻,因此使用相同能夠自組件表面蝕刻 5 及移除含鈕沉積物之清洗溶液亦會蝕刻銅表面。同時,銅 表面甚至會遭受其他不致過度侵蝕其他金屬表面(例如:鋁 或不銹鋼表面)之清洗溶液侵蝕。 因此,所欲是一種不致過度侵餘表面且能夠自組件表 面清除含金屬之殘基及沉積物(例如:含组沉積物)之方法。 10 更進一步所欲的是一種自含銅組件表面清除含钽沉積物之 方法。亦為所欲的是一種回收該用以清除含鈕殘基之清洗 溶液之方法。 【發明内容3 本發明係為一種自加工室組件清除含组沉積物之方 15 法,該方法包含:將該組件表面浸泡入一包含氫氟酸(HF) 對比硝酸(HN03)重量比例是大約1:8-1:30之清洗溶液,藉 此,在實質不侵餘該表面之下,自該表面移除該含组沉積 物。 本發明係為一種自加工室組件清除含组沉積物之方 20 法,該方法包含:將該組件表面浸泡入一包含氫氧化鉀 (KOH)對比過氧化氫(H202)莫耳比例是大約6:1 -10:1之溶 液,藉此,在實質不侵蝕該表面之下,自該表面移除該含 组沉積物。 本發明係為一種自加工室組件清除含钽沉積物之方 1304612 法,該方法包含:將該組件表面浸泡入一包含氫氟酸(HF) 對比一氧化劑莫耳比例是至少大約6:1之清洗溶液,藉此, 在實質不侵蝕該表面之下,自該表面移除該含钽沉積物。 本發明係為一種自加工室組件清除含钽及含其他金屬 5 沉積物以及回收含钽材料之方法,該方法包含:(a)將該組 件表面浸泡入酸性或驗性清洗溶液,藉此分別溶解該沉積 於該表面上之含钽及含其他金屬沉積物,以形成含钽及含 其他金屬化合物;以及(b)藉以下列步驟處理該溶液,以回 收該含组化合物:⑴將一沉澱試劑添加入該溶液,藉此形 10 成一由含组及含其他金屬化合物所構成之固體混合物;(ii) 自該溶液過濾該固體混合物;(iii)將一具有金屬選擇性之酸 溶液添加入該固體混合物,該具有金屬選擇性之酸溶液係 包含一種具有金屬選擇性之酸,該酸能夠在實質不溶解該 含钽化合物之下溶解該含金屬化合物;以及(iv)自該被溶解 15 之含金屬化合物將該含钽化合物進行分離。 圖式簡單說明 在參照下列闡釋本發明實施例之實施方式、申請專利 範圍、及檢附圖式之下,可以更瞭解本發明特徵、方面、 及優點。然而,必須暸解的是本發明各項特徵於大致上皆 20 非單一指述特定圖式,本發明係包含此等特徵之組合。其 中: 第1圖是一表面具有含金屬沉積物之組件具體例的側 視不意圖, 第2圖是一個電化學蝕刻裝置具體例的側視示意圖; 1304612 第3 a圖是—種用以回收含鈕化合物之方法具體例的流 程圖; 第3b圖是另一種用以回收含鈕化合物之方法具體例的 流程圖; 5 第4圖是一個具有一個或數個能夠於一清除加工中清 除含金屬沉積物之組件之加工室具體例的部分側視圖; 第5圖是一個以不同之包含氫氟酸(HF)及硝酸(HN〇3) 之清洗溶液來比較增長清除銅表面清洗時間所導致之鋼損 失重量百分比之比較圖; 1〇 第6a圖是—個使用一種只包含氫氟酸(HF)之清洗溶液 以及另使用一種具有氫氟酸(HF)及硝酸(HN03)呈特定比例 之改良清洗溶液來進行增長清除銅表面清洗時間對比所導 致之銅損失重量百分比之作圖; 弟 Θ疋個使用弟6a圖清洗溶液來進行增長清除组 15表面清洗時間對比所導致之钽損失重量百分比之作圖。 【實施方式】 詳細說明 加工至組件22係具有一個表面2〇,該表面2〇係具有 如第1圖所顯示之欲加以清洗移除之含金屬加工沉積物 20 24(例如:含鉋沉積物24),此沉積物24是於一基材1〇4加工 期間所產生。該含钽沉積物可以包含(例如)··至少一種鈕金 屬、氮化组、及氧化紐。施行一移除該含紐沉積物24之清 除加工可減少腔室⑽内形成污染微粒、改善基材產率、以 及容許自清洗溶液回收鈕。該等欲加以清洗之腔室組攸 1304612 係積聚含金屬及鈕之加工沉積物24且係(例如)下列部分:一 供應腔室106内部加工氣體之氣體輸送系統112、一供應腔 室106内部加工氣體之氣體輸送系統112、一支撐基材1〇4之 基材支撐座114、一激發加工氣體之氣體激發器116、腔室 5密閉壁118、及擋板120、或一供用以自腔室106排放氣體之 氣體排放器122,此等之具體例係顯示於第4圖。 麥照第4圖來看,第4圖係闡釋一物理氣相沉積腔室106 之具體例,能夠加以清潔之組件係包含:一腔室密閉壁 118、一腔室擋板12〇(其包含頂部及底部擋板120a及120b)、 1〇 一靶材124、一套環12ό、一沉積環128、一支撐環130、絕 緣壤132、一線圈135、一線圈支撐座137、感測光圈133、 夾钳擋板141、以及該基材支撐座114表面134。組件22之表 面20了以包含金屬(例如:至少一種鈦、不銹鋼、紹、鋼、 及钽)。表面20亦可以包含一種陶瓷材料(例如至少一種氧化 15鋁、氮化鋁、及氧化矽)。 一用以移除加工沉積物24之清除步驟可以包含:令該 組件22之表面20曝露一能夠令至少部分之加工沉積物以自 該組件22之表面20移除之酸性清洗溶液。該酸性溶液所包 含之溶解酸性化合物係能夠與加工沉積物24進行反應,且 2〇此夠藉由(例如)與加工沉積物24進行反應形成易溶解於該 ^’合液之化合物來令其自該組件22之表面20移除。然 而,於加工沉積物24自該組件22之表面20移除之後,此酸 ^溶'夜並不會過度侵餘或損害該組件22表面20之曝露部 刀表面20可藉由浸潤、浸泡、或與部分之表面2〇進行接 1304612 觸來曝路酸性溶液。塗層組件22之表面加可以一歷時大約 在里(例如·大約8分鐘)來浸泡酸性溶液,此浸泡時間 亦可以視加工沉積材料之組成物及厚度而定。 酸性清洗溶液之組成物是依照表面20之組成物以及加 5工/儿積物之組成物來選擇。於一實例中,酸性溶液係包含 氮氣酸(HF)。氫氟酸可以與該積聚於表面2〇之污染物進行 反應且予以溶解。酸性溶液可額外或可選擇性包含一種非 敦化酸(例如:硝酸(HN〇3》。此非氟化試劑可以是較溫和 之化學物質’藉此容許能夠以減少内襯組件結構形成侵蝕 10碎屑來清洗及製造表面2〇。此外,於一實例中,該用以清 洗表面20之酸性溶液係包含一呈適度低濃度之酸性化合 物’俾以降低對組件22之侵蝕。一呈適合濃度之酸性化合 物可以是(例如):呈低於大約15M之酸性化合物(例如:呈 大約2-15M之酸性化合物)。就一表面20包含氧化鋁或不銹 15 鋼之組件22而言,一適合之酸性溶液可以包含:大約2-8M 氳氟酸(HF)(例如:5M氫氟酸(HF))以及大約2-15M硝酸 (HNO3)(例如:大約12M硝酸(HN〇3))。就一表面20包含鈦 之組件22而言,一適合之酸性溶液可以包含:大約2-10M 硝酸(HN〇3)。於一實例中,一適合之酸性溶液可以包含5M 20 氫氟酸(HF)以及12M硝酸(HN〇3)。 更進一步的發現是改善清除含钽殘基之清除方法,此 改良清除方法是令表面20浸泡於一具有一種氫氟酸(HF)對 比硝酸(HN〇3)呈特定比例之溶液,藉此可以在實質不侵蝕 表面20及特別是不侵蝕金屬表面2〇之下移除含鈕沉積物。 1304612 特別地’據發現當此氫氟酸(HF)對比硝酸(HN〇3)之特定比 例呈足夠低時,可降低對表面20之侵蝕,特別是可降低對 金屬表面20之侵钱。一適合之氫氟酸(HF)對比硝酸(hn〇3) 重量比例是低於大約1:8,例如:清洗溶液可包含一氫氟酸 5 (HF)對比硝酸(HN〇3)重量比例是大約1:8-1:30,甚至是大約 1:12-1:20 ’例如:大約1:15。所欲是令一配製於溶液之氫氟 酸(HF》農度維持低於大約10wt〇/(>,例如大約2_10wt%,甚至 是大約5wt%。所欲是令一配製於溶液之硝酸(hn〇3)濃度維 持至少大約60wt°/〇,例如大約60-67wt%,甚至是大約65wt%。 10 就改善清洗效果而言,據信至少部分是由於硝酸(HN〇3) 與表面20(例如:金屬表面)進行反應,藉此於該表面形成一 種可抑制侵蝕表面20之抗侵蝕性氧化保護層。於氫氟酸(HF) 對比硝酸(HNO3)呈一足夠低之比例時,氫氟酸(HF)與硝酸 (HN〇3)之作用可以達到在實質不侵蝕表面2〇之下移除該含 15 钽沉積物。氫氟酸(HF)會侵蝕且溶解含鈕沉積物,且藉此曝 露部分之表面20。硝酸(HNO3)亦會侵钱含叙沉積物(雖然是 以一較低之侵姓速率),然而硝’酸(HNO3)亦同時是一強氧化 劑,因此硝酸(HN〇3)會與表面20之曝露部分進行反應且加 以氧化,藉此形成抗侵蝕性保護層。因此藉由令一溶液維持 20 足夠高之硝酸(HN〇3)對比氫氟酸(HF)濃度可保護表面2〇受 到侵钱。就清洗該包含(例如):至少一種鈦、不銹鋼及奴之 金屬表面20而言,特別適合使用具有氫氟酸(HF)對比硝酸 (HNO3)呈改良比例之清洗溶液,該改良比例係提供一實質 足量高於氧氟酸(HF)之硝酸(HN〇3)濃度。 12 1304612 於清除步驟中,可將新鮮配製之氫氟酸(HF)添加入清 洗溶液,俾以對耗用之氫氟酸(HF)進行補充。配製於溶液 中之氫氟酸(HF)會由於(例如)與含组沉積物24進行反應形 成氟化组化合物而被耗用。耗用氫氟酸(HF)會逐漸減慢自 5 表面20移除含钽沉積物。添加新鮮配製之氫氟酸(HF)可容 許以所欲速率來進行自表面20移除含鈕沉積物24。 於一實例中,清洗溶液之組成物可以就該自含銅金屬 表面20清除含组沉積物來進行最佳化。特別地,據發現一 清洗溶液可以包含呈一預先設定莫耳比例之氳氟酸(HF)及 10 一種氧化劑,藉此能夠在不過度侵蝕銅表面20之下改善含 钽沉積物24之清除。於一實例中,清洗溶液包含一氫氟酸 (HF)對比一氧化劑之莫耳比例是至少大約6:1,例如:至少 大約9:1,甚至是至少大約20:1。例如:清洗溶液可包含一 氫氟酸(HF)對比一氧化劑之莫耳比例是大約6:1-40:1,例 15 如··大約9:1-20:1。一適合配製於清洗溶液之氧化劑濃度可 以是低於大約3M(例如:大約0.1-3M),甚至是低於大約 1M(例如:大約0.1-1M)。改良之清洗溶液係包含呈一預先 設定莫耳比例之氳氟酸(HF)及一種氧化劑,藉此可以對銅 表面20提供一種良好之侵钱含组沉積物24選擇性,例如: 20 提供一選擇性是至少大約40:1,甚至是至少大約50:1。 氧化劑係包含一能夠氧化其他化合物及材料(例如:含 组沉積物)之化合物,且典型係包含一種含氧化合物。於一 實例中,一適合之氧化劑係包含梢酸(hno3)。更進一步發 現就提供良好清除結果之氧化劑而言,氧化劑可以額外於 13 1304612 包含硝酸(HNO3)之外或以替代硝酸(hn〇3)來包含至少— 種過氧化氫(氏〇2)、亞硫酸(h2S〇3)、臭氧(〇3)。例如:可 以藉由將臭氧氣體導入清洗溶液來將所欲比例之臭氧配製 入清洗溶液。 5 就一適合用以自組件含銅表面20清除含钽沉積物之清 洗溶液實例而言,該氧化劑係包含硝酸(HN〇3)。例如:該 清洗溶液之配製是以(ii)大約5-10 v〇l%之濃度大約70 wt〇/。 之石肖S曼(HNO3)儲存溶液來攙合⑴大約45 vol%之濃度大約 49 wt%之氫1酸(册)儲存溶液。其餘之溶液係包含水,較 10 佳是去離子水。此溶液之氫氟酸(HF)對比硝酸(HN〇3)莫耳 比例是大約9··1(配製1〇 v〇i%硝酸(HN〇3))至大約19:1(配製5 vol%硝酸(HN〇3))。 就發現一清洗溶液可以藉由包含呈一預先設定莫耳比 例之氫氟酸(HF)及一種氧化劑來改善不過度侵餘銅表面2〇 15之含钽沉積物24清除而言,這並非預期,這是因為銅係典 型非常易遭受氧化劑(例如:硝酸(HN〇3))之化學攻擊而導 致易受此種試劑侵蝕。同時,含鈕沉積物24典型不會受只 包含氫氟酸(HF)之洛液以一所欲之高速率進行侵钱。然 而,據觀測在組合呈預先設定莫耳比例之氫氟酸(HF)及一 20種氧化劑之下,可以達成一種協進效應,藉此可改善含钽 沉積物之清除。在不限制此發現是任何一種特定化學機制 下,據推論氧化劑之作用是以一高侵钱速率來侵钱表面2〇 之含鈕沉積物,藉此増加該配製於溶液之氫氟酸(HF)的清 除速率。然而,由於過量的氧化劑會導致快速姓刻及侵餘 14 1304612 銅表面20,因此所欲是令氧化劑濃度維持低於氫氟酸(hf) 濃度。就組件表面20包含鋼以外的金屬(例如:鋁或不銹鋼 表面)而言,通常清洗溶液需要具有一實質較低之氫氟酸 (HF)對比硝酸(HN〇3)莫耳比例,然而更進一步的驚喜是該 5氫氟酸(HF)/氧化劑清洗溶液會具有改善之清除銅的能力。 因此,使用氫氟酸(HF)對比硝酸(HN〇3)莫耳比例呈一預先 5又疋莫耳比例之改良清洗溶液來清洗銅表面2〇,可以獲得 超過預期之良好清除結果,且藉此可以於基材加工室1〇6有 效使用具有銅表面20之組件22。 10 第5_6b圖係顯示使用不同清洗溶液清洗表面之比較數 據。第5圖之比較數據所使用之清洗溶液係具有氫氟酸(HF) 對比硝酸(HNO3)莫耳比例呈一低於所欲至少6:丨之相當低 的莫耳比例。為了進行比較,令銅表面2〇浸泡入具有氫氟 酸(HF)對比硝酸(HN〇3)莫耳比例呈⑴2:丨(於第5圖標記為直 15線200)以及1:2(於第5圖標記為直線202)之清洗溶液内。 忒被彳示δ己為直線200之溶液配製是攙合1體積份數之49 wt% 氫氟酸(HF)儲存溶液、1體積份數之7〇 wt%硝酸(HN〇3)儲存 溶液、以及1體積份數之去離子水。該被標記為直線2〇2之 溶液配製是攙合1體積份數之49 wt%氫氟酸(HF)儲存溶液 20以及4體積份數之70硝酸(HNO3)儲存溶液。於清洗處理 期間以間隔時間來量測個別之表面遭受侵餘的銅重量百分 比,然後以此重量百分比來對增長之清洗時間作圖。第5圖 顯示兩種清洗溶液皆導致不為所欲之高位准的銅表面侵 蝕,就該被標記為直線2〇〇之溶液而言,於歷時僅大約5分 15 1304612 鐘之後就已侵蝕2〇wt%之銅表面,而該被標記為直線2〇2之 溶液則於歷時大約5分鐘後會侵蝕略微多於25加%之銅表 面,且於歷時大約ίο分鐘之後會侵蝕超過3〇wt%。因此, 使用此等清洗溶液來清洗銅表面2〇會導致不為所欲之結 5 果。 第6a及6b圖係顯示使用一具有氫氟酸(HF)對比硝酸 (HN〇3)呈特定比例之清洗溶液所獲得之超越預期之良好清 洗結果。於第6a圖中,令銅表面2〇浸泡入下列溶液:⑴一 單獨配製大約15M氫氟酸(HF)之比較溶液(標記為直線 10 204)、以及(11)一具有氫氟酸(HF)對比硝酸(HN〇3)莫耳比例 壬大約20:1之改良清洗溶液(標記為直線2〇6)。該比較溶液 之配製是攙合1體積份數之49 wt%氫氟酸(HF)儲存溶液及1 體積份數之去離子水。該改良溶液之配製是攙合丨〇體積份 數之49 wt%鼠氟酸(HF)儲存溶液、1體積份數之7〇 wt。/。靖酸 15 (HN〇3)儲存溶液、以及1〇體積份數之去離子水。於清洗處 理期間係以間隔時間來量測個別之表面遭受侵餘的銅重量 百分比,然後以侵#重量百分比來對增長清洗時間作圖。 第6a圖係顯示使用該包含氫氟酸(HF)之比較清洗溶液 與使用該包含氫氟酸(HF)及硝酸(HN〇3)二者呈莫耳比例大 20 約20:1之改良清洗溶液來清洗銅表面20之銅損失重量百分 比。該比較溶液產生幾近沒有或無銅表面侵姓。而該包含 氫氟酸(HF)及硝酸(HN〇3)之改良溶液則產生輕微的銅表面 侵蝕,較諸第5圖標記為直線200及202之溶液而言,改良溶 液(直線206)是以一非常緩慢的速率及一非常緩慢的銅損失 16 1304612 重1百分比來進行侵姓。例如··改良清洗溶液(直線夠於 1·'、勺略超過100分鐘後之銅損失重量百分比係僅達到約 略低於0.15 %。對比之下,比較溶液(第5圖直線及施) 則於經歷清洗僅5分鐘後就已經達到聽及約略超過Μ%之 銅損失重里百分比,此百分比係超過該具有氫氟酸(册)對 匕肖l(HN〇3)呈該預先設定比例之改良清洗溶液的1⑻ 仏。甚至於經歷清洗大約35〇分鐘之後,該具有氫氟酸闲F) 對比硝1夂(hno3)呈該預先設定比例之改良清洗溶液亦僅導 致表面20損失一約略超過大約〇·2〇 wt%之銅。因此,使用 1〇該具有氫氟酸(HF)對比硝酸(HN〇3)呈該預先設定比例之改 良/月洗溶液來清洗銅表面20可實質不侵姓銅表面2〇。 第6b圖係顯示钽表面曝露諸等具有同第6a圖組成物之 清洗溶液的結果。直線208是該包含大約15M氫氟酸(HF)之 比較清洗溶液的清洗結果,直線21〇是該具有一呈預先設定 15氫氟酸(HF)對比硝酸(HN〇3)比例是大約20:1之改良清洗溶 液的清洗結果。圖式之數據量測是令鈕表面浸泡入個別之 清洗溶液,其後於清洗處理期間是以間隔時間來量測個別 之表面遭受侵蝕的鈕重量百分比,藉此測定個別之溶液的 清除效能。就個別之溶液分別以侵姓重量百分比來對增長 20 清洗時間作圖。 第6b圖之結果係顯示較諸該只包含氫氟酸(HF)之溶液 而言,該具有氫氟酸(HF)對比硝酸(ηΝ03)比例呈一預先設 定比例之改良清洗溶液可提供優良的含钽材料清除。例 如:該具有氫氟酸(HF)及硝酸(HN〇3)之改良清洗溶液(直線 17 1304612 210)於清洗歷時大約150分鐘之後可以自表面移除超過5 wt%之钽。對比之下,該只包含氫氟酸(HF)之溶液(直線208) 則於歷時相同時間之後只移除大約1 wt%之鈕。再者,就第 6a圖與第6b圖進行比較之下,可顯示該具有氳氟酸(HF)對 5 比硝酸(HN〇3)呈該預先設定比例之改良清洗溶液展現高度 的钽/銅選擇性。該改良清洗溶液如第6a圖之直線2〇6所顯示 係於經歷清洗大約350分鐘之後只損失大約0.22之銅,然而 如第6b圖之直線210所顯示於歷時相同時間之後卻可移除 大約11 wt%之鈕。因此,該改良清洗溶液係具有一鈕/銅選 10 擇性是大約50:1。因此,就實質不侵钱組件表面且能夠自 含銅組件表面有效清除含组殘基而言,一具有氫I酸(HF) 對比氧化劑(例如:頌酸(HNO3))呈該預先設定比例之溶液 可產生改善之結果。 於尚有另一實例中,可以令表面20浸泡入一包含氫氧 15 化鉀(KOH)及過氧化氫(H2〇2)之清洗溶液來令含鈕沉積物 24自表面20清除。該清洗溶液所具有之氫氧化钾(&〇11)對 比過氧化氫(Η"2)比例被設定來達成在實質不侵蝕表面2〇 之下移除該含鈕沉積物24。一適合之氫氧化鉀(K〇H)對比 過氧化氲(H2〇2)莫耳比例是大約6:M〇:1,例如:大約 20 7·5:1。一低於或高於此所欲比例範圍之比例皆會降低對含 组沉積物之選擇性,且導致蝕刻及侵蝕表面2〇。一適合之 氫氧化鉀(KOH)濃度是(例如)大約5-12M,甚至是大約 例如:大約7M。一適合之過氧化氫(H2〇2)濃度是(例 如)大約0.5-2.5M,甚至是大約0.5-2M,例如:大約1M。同 1304612 時二據發現令該包含氫氧化钟(KOH)與過氧化氫(h2〇2)之清 、、夜、隹持適且之溫度,可增進沉積物移除速率,藉此 改口 Ί儿積物24之移除。一適合之清洗溶液溫度是至少 大約7〇C,例如大約8〇-95°C,甚至是至少大約9(rc。 5 ,於尚有另一種清除方法中,一金屬表面20是以一種電 化學餘刻加工來進行清洗。於此種加工中,該組件22之金 屬表面20係供用為陽極,且令其如第2圖所顯示地連接一電 源30之正極31。令該金屬表面2〇浸泡入一具有一種包含電 解貝〜液之電化學浴33。該電化學浴液亦可同時或可選擇 1〇丨生包3種可選擇性蝕刻含鈕沉積物之蝕刻試劑,例如: -種氫氟酸⑽)、俩_〇3)、氫氧彳_〖_、及過氧 化氫(H2〇2)。例如:電化學浴可以包含一種上文所述之硝 酉夂(HN03)/氫氧化鉀(K〇H)或氫氧化卸(κ〇Η)/過氧化氯 (η202)清洗溶液。該浴液亦可包含其他的清除試劑,例如: 15 ^氯酸(HC1)、硫酸_〇4)、及甲醇。於—實例中,該浴液 疋使用種包含氫氟酸(HF)、硫酸(邮〇4)、及甲醇之溶液 來進行I擇性電化學|虫刻含组沉積物。令一連接電源%負 極32之陰極34亦浸泡入浴液33。自電源3〇對金屬表面20及 陰極34¼加-偏壓時,會誘發該居於表面之含组沉積物 20 24改、又種氧化狀態,而導致含叙沉積物例如 :鈕金屬) 改變為該等可溶解於該電化學餘刻浴液之離子形式,藉此 7 4 3鈕/儿積物24自表面2〇被“蝕刻,,移除。所欲是維持電 化予钱刻加J1條件(例如):施加至該金屬表面2〇之電壓、電 化學I虫刻溶液之酸驗值、及溶液溫度,俾以在實質不侵麵 19 1304612 金屬表面20之下能夠自金屬表面20選擇性移除含鈕沉積 物。 此等清除方法係特別適合該等如第1圖所顯示之具有 紋理之表面20。該具有紋理表面之組件22可藉由提供一種 5 令加工殘基附著之“黏附”表面,來減少於加工室内部產生 微粒。於一實例中,該進行清除含組沉積物之組件22係包 含該等以一種“岩漿tm”加工來形成紋理表面之組件,此種 組件係(例如)下列美國專利申請案所描述之組件:美國專利 申請案序列編號:10/653,713 [授權給:West等人,提申曰 10 期:2002年9月 2日,發明名稱:“Fabricating and Cleaning Chamber Components Having Textured Surfaces,,]、美國專利 申請案序列編號:10/099,307 [提申日期:2002年3月13日, 授權給:Popiokowski等人]以及美國專利申請案編號: 10/622,178 [提申曰期:2003年7月17曰,授權給: 15 PoPiokowski等人],以上申請案皆已共同讓渡給Applied Materials,lnc·,且在此以其全部内容併入本案做為參考資 料。組件22亦可包含具有紋理表面之塗層組件,該塗層是 (例如)下述專利申請案所描述之電漿喷覆塗層或雙弧噴覆 塗層:美國專利申請案編號:1〇/3〇4,535,授權給:”肪§等 20人,提申曰期:2002年11月25曰,共同讓渡給Applied Materials,Inc.,且在此以其全部内容併入本案做為參考資 料。 該“岩漿塗層TM”紋理金屬表面2〇是藉由產生一電磁能 量束以及將其導引至組件22表面n〇來予以形成。此電磁能 20 1304612 量束較佳是一道電子束,但亦可以包含質子、中子、χ射線、 及類似物。典型是令電子束聚集於一表面22區域且歷時一 段時間,於此期間電子束會與表面20進行交互作用。據信 電子束是藉由快速加熱表面22區域來形成特徵。此快速加 5 熱會導致某些表面材料向外凸出,藉此於材料向外凸出處 形成凹陷23以及於材料凸出後再度沉積之區域形成凸起 25。於該區域形成所欲特徵之後,電子束會掃瞄不同的組 件表面22區域,藉此於新的區域形成特徵。該完成之表面 22會具有一種由表面22形成凹陷23及凸起25所構成之蜂巢 10 狀結構。以此種方法形成之特徵典型地是呈巨觀尺寸,且 凹陷23之直徑範圍是大約0.1-3.5釐米(mm),例如:直徑大 約0.8-1.0釐米(mm)。以“岩漿塗層TM”形成之紋理表面20會 具有一種整體表面粗糙度平均值是大約2500-4000微英吋 (63.5-101.6微米)。該表面20之粗糙度平均值定義是自特徵 15 中線至紋理表面20的距離平均值。 使用本發明清除方法來進行不侵蝕表面20之紋理表面 清除可獲得令人驚訝之良好結果。例如:就一以鈦製成之 紋理金屬表面20而定,上文所述之清除方法可以在對該金 屬表面20之侵#是低於1毫克/平方公分*小時(mg/cm2*hr) 20 之下,進行自該表面20清除含鈕殘基。相反地,傳統的钽 清除方法會導致自一組件22之鈦表面侵蝕超過5毫克/平方 公分。另一實例是使用一具有氫氧化鉀(KOH)對比過氧化 氫(H2〇2)莫耳比例是大約6:1-10:1之溶液以及一大約80-95 °C之溫度,來令清除含钽沉積物是呈一較諸侵#鈦組件表 21 1304612 面20速率更快大約20倍之速率,俾以容許清洗表面2〇是在 實質不過度侵蝕之下進行。 於完成清洗組件表面20之後,可處理該清洗溶液來回 收含金屬材料’例如:含组材料(此材料至少是—種组金屬 5或氧化钽。自清洗溶液回收含钽材料可減少因棄置钽所造 成之環境污染,且亦可降低適當棄置組所需之成本。回^ 之含组材料可以重新使用於基材加工,例如:回收之鈕材 料可供用以製造一供用於氣相沉積加工之含叙革巴材。除了 回收鈕之外,可處理該被使用過之清洗溶液,俾以容許重 10新使用該清洗溶液。例如:可處理該清洗溶液來回收一種 可重新使用之氫氟酸(HF)/硝酸(HN〇3)溶液。 第3 a圖係顯示一清洗組件及回收含紐材料之方法實例 流程圖。此方法的第1步驟是令組件表面20浸泡入一清洗溶 液來進行清洗’該清洗溶液係令含钽及其他金屬之殘基形 成一種可溶解入該溶液之含钽及其他金屬化合物。於清洗 組件表面20之後,將一沉澱試劑添加入該清洗溶液,俾以 令含金屬化合物自該溶液沉澱析出且形成固體混合物。該 固體混合物係包含:含趣化合物(例如:氧化|旦),且亦可包 含:含其他金屬化合物(例如:含鋁、鈦、及鐵之化合物)。 20 於一如第h圖箭頭所指示之實例中,該清洗溶液可以於固 體混合物自該溶液沉澱析出後進行回收然後被重新使用來 清洗接續之組件。於一沉澱固體混合物之方法中,該清洗 洛液是藉由添加一沉殿試劑來予以中和,該沉殿試劑係包 含一種可以令該溶液酸鹼值由大約1調升至大約7之酸或 22 1304612 鹼_。例如:對一包含氫氟酸(HF)及硝酸(HN〇3)之溶液而言, 可添加一種鹼來中和該溶液。對一包含氫氧化鉀(KOH)及 過氧化氫(Η2〇2)之溶液而言,可添加一種酸來中和該溶 液。一種適合用以中和之酸可包含至少一種石肖酸(ΗΝ〇3)、 5 硫酸(H2S〇4)、及鱗酸(Η3Ρ〇4)。一種適合用以中和之酸可包 含至少一種氫氧化鈉(NaOH)、氫氧化鉀(ΚΟΗ)、及碳酸鈣 (CaC03)。其後令該固體混合物與清洗溶液分離,可使用(例 如)過濾該溶液來分離出該固體混合物。 為了令含其他金屬之化合物與含钽化合物進行分離, 10 可將一種具有金屬選擇性之酸添加入該固體混合物,該酸 可以在實質不溶解含钽化合物之下溶解含金屬化合物。一 適合之具有金屬選擇性之酸係包含(例如):氫氯酸(HC1)。 令該固體含钽金屬化合物與該具有被溶解之含金屬化合物 之酸溶液進行分離,可使用(例如):過濾該含钽固體、或者 15 藉由傾倒該酸溶液來分離出該含钽固體。其後可使用(例如) 加熱來令該含组化合物轉變為氧化组。 第3 b圖係顯示尚有另一種清洗組件及回收含钽材料之 方法實例流程圖。清洗組件表面20是令該表面20浸泡入一 水性清洗溶液來溶解該表面20之含钽化合物。於清洗該表 20 面之後,自該清洗溶液移除含钽化合物是使用一種以液體 萃取液體之萃取方法。此種萃取方法係包含··以一種實質 不可溶混該水性溶液之有機溶液來攙合該水性清洗溶液。 該有機溶液是一種可高度溶解含钽化合物之溶液,且該有 機溶液具有自該水性溶液萃取出該含组化合物之能力。一 23 1304612 適合供用於萃取含组化合物之有機溶液係包含(例如):至少 一種甲基異丁基酮、二乙酮、環己酮、二異丁基酮、及單 丁基磷酸酯。於該含鈕化合物被萃取入有機溶液之後,令 該有機溶液與水性溶液進行分離,例如:藉由容許溶液分 5 層形成有機層及水層,其後移取一層來令二者分離。 將一沉澱試劑添加入該清洗溶液,俾以令含金屬化合 物自該溶液沉澱析出且形成固體混合物。該固體混合物係 包含:含组化合物(例如:氧化组),且亦可包含:含其他金 屬化合物(例如:含鋁、鈦、及鐵之化合物)。於一如第3a 10 圖箭頭所指示之實例中,該清洗溶液可以於固體混合物自 該溶液沉澱析出之後,進行回收且被重新使用來清洗接續 之組件。於一沉澱固體混合物之方法中,該清洗溶液是藉 由添加一沉澱試劑來予以中和,該沉澱試劑係包含一種可 以令該溶液酸驗值由大約1調升至大約7之酸或驗。例如: 15 對一包含氫氣酸(HF)及頌酸(HN〇3)之溶液而言,可添加一 種鹼來中和該溶液。對一包含氫氧化鉀(KOH)及過氧化氳 (H2〇2)之溶液而言,可添加一種酸來中和該溶液。一種適 合用以中和之酸可包含至少一種硝酸(hno3)、硫酸 (H2S04)、及磷酸(H3P〇4)。一種適合用以中和之酸可包含至 20 少一種氫氧化鈉(NaOH)、氫氧化鉀(KOH)、及碳酸鈣 (CaC03)。其後該固體混合物可使用(例如)自該溶液過濾出 該固體混合物,俾以與該清洗溶液分離。如第3b圖箭頭所 顯示,該分離之水性溶液可予保留或重新供用為一種清洗 溶液。例如:該於萃取期間留存於水性溶液内之包含氫氟 24 1304612 酸(HF)/硝酸(HN〇3)水性溶液可以於接續之清洗處理中被 重新使用來移除金屬表面20之含钽殘基。 於萃取處理之後,該居於有機溶液内之含钽化合物可 以焦水解來進行分解。於焦水解分解中,該等含钽化合物 5被加熱至一個可令該等化合物與氧反應形成氧化钽化合物 之溫度,例如:至少大約120°C,例如:大約12〇<t_i8〇t:。 於焦水解分解處理期間,該有機溶液及所有的分解反廣產 物皆會揮發而與氧化钽化合物分離。可選擇使用一種分離 步驟來令有機溶液與氧化钽化合物分離。亦可更進_步處 10理氧化鈕化合物來形成钽金屬,例如:於一溶爐中加熱氧 化组化合物。 第4圖係顯示一具有適合進行清洗移除含金屬沉積物 24(例如:含钽沉積物24)組件之加工室實例。腔室1〇6可以 是多腔室平台(沒有顯示)的一部分,多腔室平台係具有一組 15 以一機械手臂機構來令基材1〇4於腔室106之間進行轉移之 父互連接腔室群組。於所顯示之實例中,加工室1 係包含 一個喷濺沉積腔室,亦稱為一物理氣相沉積或PVD腔室, 此腔室可以於一基材104上喷錢沉積材料(例如:一種或數 種鈕、氮化鈕、鈦、氮化鈦、銅、鎢、氮化鎢、及鋁)。腔 2〇 至106係包含· ^一包圍加工區域之密閉壁118,此密閉辟係 包含:側壁164、底壁166、及一頂壁168。一支撐環13〇可 予以裝設於側壁164與頂壁168之間,俾以支撐頂璧168。其 他的腔室壁面可以包含一個或數個遮蔽密閉壁118受到喷 濺之擋板120。 25 1304612 月工至106係包含·一用以於一喷濺沉積腔室ι〇6内支撐 基材之基材支撐座114。基材支撐座114可以使用電力飄 浮,或者使用以一供電器172(例如:一射頻供電器)來產生 一 r電極Π〇。基材支撐座Μ亦可包含一可移動式感測 5光圈133,此感測光圈可用以保護沒有置放基材104之支撐 座14頂面134。於運作時,基材ι〇4是經由腔室ι〇6的一個 側土 164基材载入口(沒有顯示)來進入腔室丨〇6,其後被置放 於支撐座114頂面。於移轉基材進出腔室1〇6時,支撐座114 可以令支撐升降氣囊進行升高或降低,該置放於支撐座114 10頂面之基材則可使用一升降手指裝置(沒有顯示)來進行升 高或降低。 支撐座114亦可包含一個或數個環,例如:一套環126 及一沉積環128,二者可藉由包覆至少一部分支撐座114頂 面134來避免蝕刻支撐座114。於一實例中,沉積環128可藉 15由包圍至少部分之基材1〇4來保護該支撐座114不受基材包 覆之部分。套環126係包圍及包覆至少一部分的沉積環 128,藉此減少微粒沉積於沉積環128及底面之支撐座114。 一加工氣體(例如··喷濺氣體)是經由一氣體輸送系統 112來予以導入腔室1〇6,加工氣體系統係包含一加工氣體 20供應器,此供應器可包含一個或數個氣體供應源174,供應 源係個別經由一具有一氣體流動控制閥178(例如:一流量 控制器)之管路176來供應該流通且達到一設定流速之氣 體。管路176可將氣體飼入一攙合歧管(沒有顯示),數種氣 體可於歧管内攙合來形成一所欲之加工氣體組成物。此攙 26 1304612 合歧官會將-氣體飼人—氣體輸送器⑽,此氣體輸送器具 有-個或數個裝設於腔室106之氣體出口 182。加工氣體; 以包含-财具有反應性之氣體(例如:氬氣錢氣),此等 氣體能夠強力撞擊乾材且藉此導致乾材材料進行喷賤。加 5工氣體亦可以包含-種具有反應性之氣體(例如:—種或數 種含氧及含氮之氣體),此等氣體能夠藉由與喷濺材料進行 反應來形成-個覆蓋基材1〇4之層體。耗用後之加工氣體及 副產物是自腔室106經由一排放裝置122來進行排放,此排 放裝置122係包含-個或數個排放口 184,排放口184是藉由 1 〇將接收之耗用後加工氣體通入一具有節流闊i 8 8之排放管 路186,來控制腔室106的氣體壓力。排放管路186會連通— 部或數部排放幫浦19G。典型地,腔室雇之喷濺氣體壓力 是設定在低於大氣壓力之等級。 喷濺腔室106亦包含一個面朝一基材1〇4表面1〇5之噴 15濺靶材124,此靶材係包含該欲噴濺基材104之材料。靶材 124係連接一供電為192且以一環狀絕緣環132來形成與腔 室106絕緣。喷濺腔室1〇6亦具有一擋板12〇,擋板12〇可保 蠖腔室106之密閉壁118受到材料喷濺。擋板12〇可藉由包含 一具有頂部擋板區段及底部擋板區段之壁狀圓柱,來遮蔽 2〇腔室106之頂部及底部區域。於第4圖所顯示之實例中,栲 板120具有一連接支撐環13〇之頂部區段12如以及一連接= 環126之底部區段120b。亦可裝設一包含一夾鉗環之夾鉗擋 板,俾以同時夹鉗頂部及底部擋板區段12〇a,b。亦可使用其 他可選擇之擋板設計(例如··内緣及外緣擋板)。於一實例 27 1304612 中,一個或數個供電器192、靶材124、及擋板12〇是藉由有 如一部氣體激發器116之運作,來激發噴濺氣體及產生靶材 124贺瘛。供電器192會對比樓板120來對革巴材124施加一偏 壓。藉由施加電壓會於腔室106内部產生電場,此電場會激 5 發喷賤氣體形成一道電漿,此電漿會強力撞擊及轟擊革巴材 124 ’糟此令p貧錢材料離開|巴材’且其後到達基材1 頂面。 支撐座114具有電極170,且支撐座電極供電器172亦可藉由 激1¾及加速令離子化材料自革巴材124離開且朝向基材1 喷 錢來參與部分之氣體激發器116運作。再者,可以於腔室1〇6 10内部裝設一使用供電器192來進行供電之氣體激發線圈 135 ’此線圈可增進激發氣體特性(例如:改善激發氣體密 度)。氣體激發線圈135可使用一個連接一擋板120或其他腔 室106壁面之線圈支撐座137來予以支撐。 腔室106是以一部控制器194來進行調控,此控制器係 15 包含指令程式碼,此等指令是設定腔室106組件之運作,俾 以達成於腔室106内進行基材104加工。例如:控制器194可 以包含:一基材定位指令[此指令是設定一個或數個基材支 撐座130及基材移轉裝置之運作,俾以達成將一基材置放於 腔室106内]、一氣體流動控制指令[此指令是設定流動控制 2〇閥178之運作,俾以設定流入腔室1〇6之噴濺氣體]、一氣體 壓力控制指令[此指令是設定排氣節流閥188之運作,俾以 維持腔室106的内部壓力]、一氣體激發控制指令[此指令是 設定氣體激發器116之運作,俾以設定氣體激發之電力等 級]、一溫度控制指令[此指令是設定腔室1〇6的溫度控制]、 28 1304612 以及一加工監測指令[此指令是設定腔室106内進行加工監 、 測]0 . 雖然本發明顯示並描述實施具體例,然而那些熟習此 項技藝人士可參照本發明來設計其他具體例,本發明範疇 5 係涵概此等具體例。例如:可以清洗本案所述之外的其他 腔室組件。可使用除了本案所述以外的清洗及回收步驟。 ~ 再者,參照本案實施具體例所顯示之相對或者方向術語是 * 可以交互變換的。因此,本案檢附申請專利範圍不受限於 該等用以闡釋本案所描述之較佳具體例、材料、或空間安 參 10 排。 I:圖式簡單說明2 第1圖是一表面具有含金屬沉積物之組件具體例的侧 視不意圖, 第2圖是一個電化學蝕刻裝置具體例的側視示意圖; 15 第3a圖是一種用以回收含钽化合物之方法具體例的流 程圖; 第3b圖是另一種用以回收含钽化合物之方法具體例的 · 流程圖; % 第4圖是一個具有一個或數個能夠於一清除加工中清 . 20 除含金屬沉積物之組件之加工室具體例的部分側視圖; 第5圖是一個以不同之包含氫氟酸(HF)及硝酸(HN03) 之清洗溶液來比較增長清除銅表面清洗時間所導致之銅損 失重量百分比之比較圖; 第6a圖是一個使用一種只包含氫氟酸(HF)之清洗溶液 : 29 1304612 以及另使用一種具有氫氟酸(HF)及硝酸(HN03)呈特定比例 之改良清洗溶液來進行增長清除銅表面清洗時間對比所導 致之銅損失重量百分比之作圖; 第6b圖是一個使用第6a圖清洗溶液來進行增長清除钽 5 表面清洗時間對比所導致之组損失重量百分比之作圖。 【圖式之主要元件代表符號表】 20…組件表面 130" •支撐環 22···腔室組件 132·· •絕緣環 23…凹陷 133" •感測光圈 24…含组沉積物 134" •基材支撐座表面 25···Λ 起 135·· •線圈 104···基材 137·· •線圈支樓座 105···基材表面 141" •夾鉗擋板 106···加工室 148·· •管路 112···氣體輸送系統 164·. •腔室側壁 114···基材支撐座 166·· •底壁 116···氣體激發器 168·. •頂壁 118···腔室密閉壁 170·· •電極 120…擋板 172、 192…供電器 120a/120b···擋板頂部/底部區段 174·· •氣體供應源 122···氣體排放器 178·· •氣體流動控制閥 124…靶材 176·· •管路 126…套環 180…氣體輸送器 128···沉積環 182·· •氣體出口Recover Metal-Containing Compounds, licensed to Brueckner et al., to Applied Materials, Inc. , the date of the filing: December 19, 2003, a partial continuation of the patent application, which is the US patent application serial number 10/304,535 [invention name: "Method of Cleaning a 10 Coated Process Chamber Component", authorized to Wang et al., transferred to Applied Materials, Inc. , the date of the application: November 25, 2002 延续] a part of the continuation of the patent application, the entire disclosure of both cases is hereby incorporated into this case as a reference. BACKGROUND OF THE INVENTION 15 This invention relates to the removal and recovery of metal-containing residues from the surface of process chamber components. L lltT Jt In the processing of a substrate (for example, a semiconductor wafer and a display), a substrate is placed in a processing chamber to expose an excitation gas, 20 and thereby on the substrate (eg ) depositing material or etching patterns. During such processing, the resulting processing residues are deposited on the surface of the chamber interior. For example, in a sputter deposition process, a deposition material from a target that is applied to a substrate is also deposited on the surface of other components in the chamber, for example, deposited on a deposition ring, a collar, a cover ring, Inner edge fascia, top edge fascia, lining and 1036612 focus ring. During the subsequent processing cycle, the deposited residue "scatters away" from the surface of the chamber component and then falls onto the substrate to contaminate the substrate. Therefore, it is necessary to periodically remove deposition processing residues from the surface of the chamber. However, it is difficult to remove the deposited metal containing metal (e.g., group) from the processing component, particularly when the component is made of a material containing metal. When the button is sprayed onto the substrate, some of the splash buttons are deposited on adjacent chamber components. Since suitable cleaning solutions are also generally reactive to other metals (e.g., titanium used to make process components), these ruthenium processing deposits are difficult to remove. Removal of niobium-containing materials from such surfaces can result in 10 etched components and the need to update components frequently. Residual metal surfaces can be particularly problematic in terms of removing textured metal surfaces (e.g., surfaces formed by a "rock polyTM" process). These surfaces are difficult to remove by using conventional scavenging methods due to traps and holes that trap trapped processing residues. 15 When conventional cleaning methods are used to remove defects, it is not possible to recover a quantity of the group containing materials resulting from these cleaning processes. It is estimated that in a plurality of sets of deposition processes, only half of the sputtered germanium material is deposited on the substrate and the remainder is deposited on the surface of the chamber component. Conventional cleaning methods usually involve disposing of the cleaning solution used together with the dissolved material. Therefore, a large amount of button material is discarded after the chamber surface 20 is removed, resulting in an estimated annual loss of about 30,000 pounds. Since the price of the crucible is expensive and it is necessary to use the newly prepared cleaning solution, the disposal of the crucible is not conducive to environmental protection and high cost. In one example, a processing chamber assembly having a copper surface can be used during substrate processing. The copper surface exhibits a lower thermal gradient, and thus the 1304612 ability minimizes the pressure between the copper surface and all residues deposited on the surface. However, since it is very difficult to remove processed residues from such a surface, it is difficult to perform the use of a component having a copper surface. This is partly because the copper surface is typically very susceptible to etching, so etching the copper surface can also be etched using the same cleaning solution that can etch 5 from the surface of the component and remove the deposit containing the button. At the same time, the copper surface can be exposed to other cleaning solutions that do not overly attack other metal surfaces (for example, aluminum or stainless steel surfaces). Therefore, what is desired is a method that does not excessively invade the surface and is capable of removing metal-containing residues and deposits (e.g., containing group deposits) from the surface of the module. Further what is desired is a method of removing ruthenium-containing deposits from the surface of a copper-containing component. Also desirable is a method of recovering the cleaning solution used to remove the residue containing the button. SUMMARY OF THE INVENTION The present invention is a method for removing a group-containing deposit from a processing chamber assembly, the method comprising: immersing the surface of the assembly into a hydrofluoric acid (HF)-containing nitric acid (HN03) weight ratio is about The cleaning solution of 1:8-1:30, whereby the group-containing deposits are removed from the surface without substantially invading the surface. The present invention is a method for removing a group-containing deposit from a processing chamber assembly, the method comprising: immersing the surface of the module into a potassium hydroxide (KOH)-containing hydrogen peroxide (H202) molar ratio of about 6 A solution of 1 - 10:1 whereby the group-containing deposit is removed from the surface without substantially eroding the surface. The present invention is a method for removing ruthenium-containing deposits from a processing chamber assembly by a method of 1 406,212, the method comprising: immersing the surface of the assembly into a hydrofluoric acid (HF)-containing oxidant molar ratio of at least about 6:1. The cleaning solution is thereby removed from the surface without substantially eroding the surface. The present invention is a method for removing ruthenium-containing and other metal-containing 5 deposits from a processing chamber component and recovering the ruthenium-containing material, the method comprising: (a) immersing the surface of the component in an acidic or in-situ cleaning solution, thereby respectively Dissolving the cerium-containing and other metal-containing deposits deposited on the surface to form cerium-containing and other metal-containing compounds; and (b) treating the solution by the following steps to recover the group-containing compound: (1) a precipitation reagent Adding to the solution, thereby forming a solid mixture comprising the group and the other metal compound; (ii) filtering the solid mixture from the solution; (iii) adding a metal selective acid solution to the solution a solid mixture, the metal-selective acid solution comprising a metal-selective acid capable of dissolving the metal-containing compound without substantially dissolving the ruthenium-containing compound; and (iv) from being dissolved therein The metal-containing compound separates the ruthenium-containing compound. BRIEF DESCRIPTION OF THE DRAWINGS The features, aspects, and advantages of the present invention will become more apparent from the following description of the embodiments of the invention. However, it must be understood that the various features of the invention are generally described as non-singular and specific. Wherein: Figure 1 is a side view of a specific example of a component having a metal-containing deposit on the surface, and Figure 2 is a side view of a specific example of an electrochemical etching device; 1304612 Figure 3a is a type for recycling A flow chart of a specific example of a method for containing a button compound; Fig. 3b is a flow chart showing another specific example of a method for recovering a button-containing compound; 5 Figure 4 is a one or several ones capable of being removed in a cleaning process Partial side view of a specific example of a processing chamber for a component of a metal deposit; Figure 5 is a comparison of a cleaning solution containing hydrofluoric acid (HF) and nitric acid (HN〇3) to increase the cleaning time of the copper surface. Comparison of weight loss percentage of steel; 1〇 Figure 6a is a cleaning solution containing only hydrofluoric acid (HF) and a specific ratio of hydrofluoric acid (HF) and nitric acid (HN03) Improve the cleaning solution to increase the copper surface cleaning time compared to the copper loss weight percentage caused by the comparison; Dior use the brother 6a cleaning solution to carry out the growth removal group 15 surface cleaning time Tantalum results in weight loss percentages plotted. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The processing to assembly 22 has a surface 2〇 having a metal-containing processing deposit 20 24 as shown in FIG. 1 to be cleaned and removed (eg, containing a planed deposit) 24), the deposit 24 is produced during processing of a substrate 1〇4. The niobium-containing deposit may comprise, for example, at least one of a button metal, a nitrided group, and an oxidized nucleus. The removal of the removal of the deposits 24 can reduce the formation of contaminating particles in the chamber (10), improve the substrate yield, and allow the self-cleaning solution recovery button. The chamber group 攸1304612 to be cleaned accumulates the metal and button processing deposits 24 and is, for example, the following: a gas delivery system 112 for processing gas inside the supply chamber 106, and a supply chamber 106 interior. a gas delivery system 112 for processing a gas, a substrate support 114 for supporting the substrate 1〇4, a gas energizer 116 for exciting the processing gas, a chamber 5 sealing wall 118, and a baffle 120, or a self-cavity The gas discharger 122 of the gas is discharged from the chamber 106, and specific examples thereof are shown in Fig. 4. As seen in Fig. 4, Fig. 4 illustrates a specific example of a physical vapor deposition chamber 106. The components that can be cleaned include a chamber closed wall 118 and a chamber baffle 12 (which contains Top and bottom baffles 120a and 120b), a target 124, a set of rings 12, a deposition ring 128, a support ring 130, an insulating soil 132, a coil 135, a coil support 137, a sensing aperture 133 , a clamp baffle 141, and a surface 134 of the substrate support seat 114. The surface 20 of the assembly 22 is comprised of a metal (e.g., at least one of titanium, stainless steel, steel, steel, and tantalum). Surface 20 may also comprise a ceramic material (e.g., at least one of aluminum oxide, aluminum nitride, and tantalum oxide). A cleaning step for removing the process deposit 24 can include exposing the surface 20 of the assembly 22 to an acidic cleaning solution that removes at least a portion of the process deposit from the surface 20 of the assembly 22. The acidic acidic compound contained in the acidic solution is capable of reacting with the process deposit 24 and is capable of reacting, for example, with the processing deposit 24 to form a compound which is readily soluble in the liquid mixture. The surface 20 of the assembly 22 is removed. However, after the processing deposit 24 is removed from the surface 20 of the component 22, the acid does not overly invade or damage the exposed surface of the component 22. The knife surface 20 can be infiltrated, soaked, Or contact the surface of the part 2〇1304612 to expose the acidic solution. The surface of the coating assembly 22 can be immersed in an acid solution for about a period of time (e.g., about 8 minutes). The soaking time can also depend on the composition and thickness of the deposited material. The composition of the acidic cleaning solution is selected in accordance with the composition of the surface 20 and the composition of the additive. In one example, the acidic solution comprises nitrogen acid (HF). Hydrofluoric acid can react with the contaminants accumulated on the surface and dissolve. The acidic solution may additionally or alternatively comprise a non-donating acid (for example: nitric acid (HN〇3). This non-fluorinating agent may be a milder chemical substance] thereby allowing the formation of erosion by reducing the structure of the liner assembly. The crumb is used to clean and fabricate the surface. Further, in one example, the acidic solution used to clean the surface 20 comprises a moderately low concentration of acidic compound '俾 to reduce erosion of the assembly 22. One is at a suitable concentration. The acidic compound can be, for example, an acidic compound of less than about 15 M (e.g., an acidic compound of about 2-15 M). For a surface 20 comprising alumina or stainless steel 15 component 22, a suitable The acidic solution may comprise: about 2-8 M fluorinated acid (HF) (for example: 5 M hydrofluoric acid (HF)) and about 2-15 M nitric acid (HNO3) (for example: about 12 M nitric acid (HN〇3)). For a surface 20 comprising a titanium component 22, a suitable acidic solution may comprise: about 2-10 M nitric acid (HN〇3). In one example, a suitable acidic solution may comprise 5M 20 hydrofluoric acid (HF) and 12M nitric acid (HN〇3). Further development Now it is a method for improving the removal of ruthenium-containing residues. The improved cleaning method is to soak the surface 20 in a solution having a specific ratio of hydrofluoric acid (HF) to nitric acid (HN〇3), thereby being Etching the surface 20 and, in particular, not eroding the metal surface, removing the deposit containing the button. 1304612 In particular, it was found that when the specific ratio of the hydrofluoric acid (HF) to nitric acid (HN〇3) is sufficiently low, The erosion of the surface 20 can be reduced, in particular, the invasion of the metal surface 20 can be reduced. A suitable ratio of hydrofluoric acid (HF) to nitric acid (hn〇3) is less than about 1:8, for example: cleaning solution The weight ratio of monohydrogen fluoride 5 (HF) to nitric acid (HN〇3) may be about 1:8-1:30, or even about 1:12-1:20', for example: about 1:15. Let the hydrofluoric acid (HF) farming degree formulated in the solution be maintained below about 10 wt%/(>, for example, about 2-10 wt%, or even about 5 wt%. It is intended to prepare a nitric acid (hn〇3) formulated in a solution. The concentration is maintained at least about 60 wt/〇, for example about 60-67 wt%, or even about 65 wt%. 10 In terms of improving the cleaning effect, it is believed that at least The fraction is due to the reaction of nitric acid (HN〇3) with surface 20 (for example, a metal surface), thereby forming an erosion-resistant oxidative protective layer on the surface that inhibits erosion of surface 20. In hydrofluoric acid (HF) versus nitric acid When (HNO3) is at a sufficiently low ratio, the action of hydrofluoric acid (HF) and nitric acid (HN〇3) can be achieved by removing the 15 钽 deposit below the substantially non-erodized surface. HF) will erode and dissolve the button deposits and thereby expose a portion of the surface 20. Nitric acid (HNO3) also invades the sediments (although at a lower rate of invading), while nitric acid (HNO3) is also a strong oxidant, so nitric acid (HN〇3) will interact with surface 20 The exposed portion is reacted and oxidized, thereby forming an erosion resistant protective layer. Therefore, by allowing a solution to maintain a sufficiently high concentration of nitric acid (HN〇3) versus hydrofluoric acid (HF), the surface 2〇 can be protected from invading. For cleaning the surface 20 comprising, for example, at least one of titanium, stainless steel and slave metal, it is particularly suitable to use a cleaning solution having a modified ratio of hydrofluoric acid (HF) to nitric acid (HNO3), the modified ratio providing one The substantial amount is higher than the concentration of nitric acid (HN〇3) of oxyfluoric acid (HF). 12 1304612 In the removal step, freshly prepared hydrofluoric acid (HF) can be added to the cleaning solution to replenish the spent hydrofluoric acid (HF). The hydrofluoric acid (HF) formulated in the solution is consumed by, for example, reacting with the group-containing deposit 24 to form a fluorinated group compound. The consumption of hydrofluoric acid (HF) will gradually slow the removal of ruthenium-containing deposits from the 5 surface 20. The addition of freshly prepared hydrofluoric acid (HF) permits removal of the button-containing deposit 24 from the surface 20 at a desired rate. In one example, the composition of the cleaning solution can be optimized for removal of the group-containing deposit from the self-containing copper metal surface 20. In particular, it has been found that a cleaning solution can comprise hydrofluoric acid (HF) in a pre-set molar ratio and an oxidizing agent, whereby the removal of the cerium-containing deposit 24 can be improved without excessively eroding the copper surface 20. In one example, the cleaning solution comprises a hydrofluoric acid (HF) to an oxidizing agent having a molar ratio of at least about 6:1, such as at least about 9:1, or even at least about 20:1. For example, the cleaning solution may comprise a hydrofluoric acid (HF) versus an oxidizing agent in a molar ratio of about 6:1 to 40:1, for example 15 as about 9:1 to 20:1. An oxidant concentration suitable for formulation in the cleaning solution can be less than about 3M (e.g., about 0. 1-3M), even less than about 1M (for example: about 0. 1-1M). The improved cleaning solution comprises fluorinated acid (HF) in a pre-set molar ratio and an oxidizing agent, thereby providing a good intrusion of the copper surface 20 with a compositional deposit 24 selectivity, for example: 20 provides one The selectivity is at least about 40:1, or even at least about 50:1. The oxidizing agent comprises a compound capable of oxidizing other compounds and materials (e.g., containing a group of deposits), and typically comprises an oxygenate. In one example, a suitable oxidizing agent comprises a pinch acid (hno3). It is further found that in the case of an oxidizing agent which provides a good cleaning result, the oxidizing agent may additionally contain at least 13 kinds of hydrogen peroxide (H2) in addition to nitric acid (HNO3) or in place of nitric acid (hn〇3). Sulfuric acid (h2S〇3), ozone (〇3). For example, the desired proportion of ozone can be formulated into the cleaning solution by introducing ozone gas into the cleaning solution. 5 In the case of a cleaning solution suitable for removing cerium-containing deposits from the copper-containing surface 20 of the module, the oxidizing agent comprises nitric acid (HN〇3). For example, the cleaning solution is formulated at a concentration of (ii) about 5-10 v〇l% of about 70 wt〇/. The Schottky Smann (HNO3) storage solution is used to knead (1) about 45 vol% of a concentration of about 49 wt% of the hydrogen 1 acid (storage) storage solution. The rest of the solution contains water, more preferably 10 deionized water. The hydrofluoric acid (HF) to nitric acid (HN〇3) molar ratio of this solution is about 9··1 (1〇v〇i% nitric acid (HN〇3) is formulated) to about 19:1 (5 vol% is formulated) Nitric acid (HN〇3)). It was found that a cleaning solution can be improved by including hydrofluoric acid (HF) in a pre-set molar ratio and an oxidizing agent to improve the removal of the ruthenium-containing deposits 24 which do not excessively invade the copper surface 2〇15. This is because copper systems are typically very susceptible to chemical attack by oxidants (eg, nitric acid (HN〇3)) and are susceptible to attack by such agents. At the same time, the button-containing deposit 24 is typically not subjected to a high rate of intrusion by a solution containing only hydrofluoric acid (HF) at a desired rate. However, it has been observed that a synergistic effect can be achieved by combining hydrofluoric acid (HF) with a pre-set molar ratio and a oxidizing agent, thereby improving the removal of cerium-containing deposits. Without limiting the discovery to any particular chemical mechanism, it is inferred that the role of the oxidant is to invade the surface of the surface of the button with a high rate of intrusion, thereby adding the hydrofluoric acid (HF) formulated in the solution. The rate of removal. However, since an excessive amount of oxidant causes a rapid surname and an invading 14 1304612 copper surface 20, it is desirable to maintain the oxidant concentration below the hydrofluoric acid (hf) concentration. Insofar as the component surface 20 comprises a metal other than steel (eg, an aluminum or stainless steel surface), typically the cleaning solution needs to have a substantially lower ratio of hydrofluoric acid (HF) to nitric acid (HN〇3) molars, yet further The surprise is that the 5 hydrofluoric acid (HF) / oxidizer cleaning solution will have an improved ability to remove copper. Therefore, using a modified cleaning solution of hydrofluoric acid (HF) versus nitric acid (HN〇3) molar ratio in a pre-5 疋 molar ratio to clean the copper surface 2 〇, can obtain better than expected good removal results, and borrow This makes it possible to effectively use the component 22 having the copper surface 20 in the substrate processing chamber 1〇6. 10 Figure 5_6b shows comparative data for cleaning the surface with different cleaning solutions. The cleaning solution used in the comparative data of Figure 5 has a molar ratio of hydrofluoric acid (HF) to nitric acid (HNO3) which is a relatively low molar ratio of at least 6: 丨. For comparison, the copper surface was immersed in a hydrofluoric acid (HF) versus nitric acid (HN〇3) molar ratio of (1) 2: 丨 (marked as a straight line 15 in Figure 5) and 1:2 (in Figure 5 is labeled as a cleaning solution in line 202).忒 is a solution in which δ is a straight line 200 is prepared by mixing 1 part by volume of 49 wt% hydrofluoric acid (HF) storage solution, 1 part by volume of 7 〇 wt% nitric acid (HN〇3) storage solution, And 1 part by volume of deionized water. The solution labeled as line 2〇2 was prepared by combining 1 part by volume of 49 wt% hydrofluoric acid (HF) storage solution 20 and 4 parts by volume of 70 nitric acid (HNO3) storage solution. The weight percentage of copper that was subjected to the remnants of the individual surfaces was measured at intervals during the cleaning process and then plotted against the increasing cleaning time by this weight percentage. Figure 5 shows that both cleaning solutions cause undesirably high levels of copper surface erosion, which is etched after only about 5 minutes, 15 13 4612 minutes, for a solution marked as a straight line 2 〇wt% of the copper surface, and the solution labeled as line 2〇2 will erode slightly more than 25 plus% of the copper surface after about 5 minutes, and will erode more than 3 〇wt after about ίο minutes %. Therefore, the use of these cleaning solutions to clean the copper surface 2 〇 will result in undesired results. Figures 6a and 6b show good cleaning results beyond expectations using a cleaning solution having a specific ratio of hydrofluoric acid (HF) to nitric acid (HN〇3). In Figure 6a, the copper surface is immersed in the following solutions: (1) a comparative solution of approximately 15 M hydrofluoric acid (HF) alone (labeled as line 10 204), and (11) a hydrofluoric acid (HF) A modified cleaning solution (marked as a straight line 2〇6) of a nitric acid (HN〇3) molar ratio of approximately 20:1. The comparative solution was prepared by combining 1 part by volume of a 49 wt% hydrofluoric acid (HF) storage solution and 1 part by volume of deionized water. The modified solution was prepared by mixing 49 parts by weight of a sputum fluoroacetic acid (HF) storage solution in a volume fraction of 7 parts by volume. /. Hydrate 15 (HN〇3) storage solution, and 1 part by volume of deionized water. During the cleaning process, the percentage of copper that was subjected to the residual surface was measured by the interval time, and then the growth time was plotted against the weight percentage. Figure 6a shows an improved cleaning using the comparative cleaning solution containing hydrofluoric acid (HF) and using the hydrofluoric acid (HF) and nitric acid (HN〇3) in a molar ratio of 20 to 20:1. The solution is used to clean the copper surface weight loss percentage of the copper surface 20. The comparative solution produced almost no or no copper surface invading the surname. The modified solution containing hydrofluoric acid (HF) and nitric acid (HN〇3) produces a slight copper surface erosion. Compared to the solutions labeled as lines 200 and 202 in Figure 5, the modified solution (line 206) is Invade the surname at a very slow rate and a very slow copper loss of 16 1304612. For example, the improved cleaning solution (straight enough for 1·', the weight loss of copper after a spoon slightly more than 100 minutes is only about less than 0. 15%. In contrast, the comparative solution (Fig. 5, line and application) has reached a percentage of the copper loss that has been heard to exceed approximately Μ% after only 5 minutes of cleaning, which is greater than the hydrofluoric acid (book) pair.匕 l (HN 〇 3) is 1 (8) 改良 of the modified cleaning solution in the predetermined ratio. Even after undergoing cleaning for about 35 minutes, the modified cleaning solution having a pre-set ratio of hydrofluoric acid F) versus nitrate 1 (hno3) only causes a loss of surface 20 of about a little more than about 〇·2〇wt%. Copper. Therefore, the use of the hydrofluoric acid (HF) versus nitric acid (HN〇3) in this predetermined ratio of the modified/monthly washing solution to clean the copper surface 20 can substantially invade the copper surface. Figure 6b shows the results of exposure of the surface of the crucible to a cleaning solution having the same composition as in Figure 6a. Line 208 is the result of the cleaning of the comparative cleaning solution containing about 15 M hydrofluoric acid (HF). The line 21 〇 has a preset ratio of 15 hydrofluoric acid (HF) to nitric acid (HN 〇 3) of about 20: 1 The cleaning result of the improved cleaning solution. The data measurement of the figure is such that the surface of the button is immersed in an individual cleaning solution, and thereafter the percentage of the button that is eroded by the individual surface is measured at intervals during the cleaning process, thereby determining the cleaning efficiency of the individual solutions. The individual solutions were plotted against the growth 20 wash time as a percentage of the invader's weight. The result of Fig. 6b shows that the improved cleaning solution having a pre-set ratio of hydrofluoric acid (HF) to nitric acid (ηΝ03) provides excellent ratio compared to the solution containing only hydrofluoric acid (HF). The cerium-containing material is removed. For example, the modified cleaning solution with hydrofluoric acid (HF) and nitric acid (HN〇3) (straight line 17 1304612 210) can remove more than 5 wt% of the crucible from the surface after about 150 minutes of cleaning. In contrast, the solution containing only hydrofluoric acid (HF) (line 208) removed only about 1 wt% of the button after the same time. Furthermore, in comparison with Fig. 6a and Fig. 6b, it can be shown that the modified cleaning solution having a predetermined ratio of fluorinated acid (HF) to 5 nitric acid (HN〇3) exhibits a high degree of bismuth/copper. Selectivity. The modified cleaning solution is shown as a line 2〇6 in Figure 6a, which shows a loss of only about 0 after about 350 minutes of cleaning. The copper of 22, however, as shown by line 210 of Figure 6b, the button of about 11 wt% can be removed after the same time period. Therefore, the modified cleaning solution has a button/copper selectivity of about 50:1. Therefore, in the case of substantially not invading the surface of the component and capable of effectively removing the group-containing residues from the surface of the copper-containing component, a hydrogen-acid (HF)-based oxidizing agent (for example, tannic acid (HNO3)) is in the predetermined ratio. The solution can produce improved results. In yet another example, surface 20 can be immersed in a cleaning solution comprising potassium hydroxide (KOH) and hydrogen peroxide (H2 2) to purge button deposits 24 from surface 20. The cleaning solution has a potassium hydroxide (&11) versus hydrogen peroxide (Η"2) ratio set to achieve removal of the button-containing deposit 24 below the substantially non-erodible surface. A suitable potassium hydroxide (K〇H) comparison The cerium peroxide (H2〇2) molar ratio is about 6:M〇:1, for example: about 20 7·5:1. A ratio below or above this desired ratio will reduce the selectivity to the inclusions and cause etching and erosion of the surface. A suitable potassium hydroxide (KOH) concentration is, for example, about 5-12 M, or even about for example: about 7 M. A suitable concentration of hydrogen peroxide (H2〇2) is (for example) about 0. 5-2. 5M, even about 0. 5-2M, for example: about 1M. It is found that the temperature of the KOH (KOH) and hydrogen peroxide (h2〇2), which is suitable for the clear, night, and sputum, can increase the sediment removal rate, thereby improving the sediment removal rate. The removal of the product 24 is removed. A suitable cleaning solution temperature is at least about 7 〇C, such as about 8 〇 to 95 ° C, or even at least about 9 (rc. 5 ). In yet another cleaning method, a metal surface 20 is an electrochemical The processing is carried out for cleaning. In this process, the metal surface 20 of the component 22 is used as an anode, and is connected to the positive electrode 31 of a power source 30 as shown in Fig. 2. The metal surface is immersed in 2〇. There is an electrochemical bath 33 containing an electrolysis bath~ liquid. The electrochemical bath can also simultaneously or alternatively select three kinds of etching reagents for selectively etching the button deposit, for example: Fluoric acid (10)), two _ 〇 3), hydrazine hydrazine _ _ _, and hydrogen peroxide (H 2 〇 2). For example, the electrochemical bath may comprise a guanidine (HN03) / potassium hydroxide (K 〇 H) or KOH (κ 〇Η ) / perchloric chloride (η 202) cleaning solution as described above. The bath may also contain other scavenging reagents such as: 15 ^ chloric acid (HC1), sulfuric acid _ 〇 4), and methanol. In the example, the bath contains a solution comprising hydrofluoric acid (HF), sulfuric acid (mail 4), and methanol for I selective electrochemistry. A cathode 34 connected to the negative electrode 32 of the power source is also immersed in the bath 33. When the power source 3 is biased to the metal surface 20 and the cathode 341⁄4, the group-containing deposits on the surface are induced to change, and the oxidized state is caused, and the sulphide deposits such as: button metal are changed to The ionic form can be dissolved in the electrochemical residual bath, whereby the 473 button/small product 24 is "etched, removed from the surface 2". The desired condition is to maintain the electrochemistry and add the J1 condition ( For example): the voltage applied to the surface of the metal, the acid value of the electrochemical I-etched solution, and the temperature of the solution, so as to be selectively movable from the metal surface 20 below the substantially unimpeded surface 19 1304612 In addition to the button deposits, these cleaning methods are particularly suitable for the textured surface 20 as shown in Figure 1. The textured surface component 22 can be "adhered" by providing a 5 process processing residue attachment. The surface, to reduce the generation of particles within the processing chamber. In one example, the component 22 for removing the group-containing deposits comprises the components that are processed by a "magma tm" to form a textured surface, such as The following US patents The components described in the case: US Patent Application Serial No. 10/653,713 [Authorized to: West et al., Tishin 10: September 2, 2002, inventor name: "Fabricating and Cleaning Chamber Components Having Textured Surfaces ,,], US patent application serial number: 10/099, 307 [request date: March 13, 2002, authorized to: Popiokowski et al.] and US patent application number: 10/622,178 [requesting period : July 17, 2003, authorized to: 15 PoPiokowski et al.], the above applications have been jointly transferred to Applied Materials, lnc., and the entire contents of this article are incorporated herein by reference. The assembly 22 can also include a coated component having a textured surface, such as a plasma spray coating or a dual arc spray coating as described in the following patent application: U.S. Patent Application Serial No.: /3〇4,535, authorized to: "Fat § wait 20 people, submit the deadline: November 25, 2002, jointly transferred to Applied Materials, Inc. The entire contents of this application are hereby incorporated by reference. The "Magma CoatingTM" textured metal surface 2 is formed by creating an electromagnetic energy beam and directing it to the surface n of the assembly 22. The electromagnetic energy 20 1304612 is preferably an electron beam, but may also contain protons, neutrons, xenon rays, and the like. Typically, the electron beams are concentrated in a surface 22 region for a period of time during which the electron beam interacts with the surface 20. It is believed that the electron beam is characterized by rapidly heating the surface 22 region. This rapid addition of 5 heat causes some of the surface material to bulge outwardly, thereby forming a depression 23 at the outward projection of the material and forming a projection 25 in the region where the material is re-deposited after the projection. After the desired features are formed in the area, the electron beam scans the different component surface 22 regions, thereby forming features in the new regions. The finished surface 22 will have a honeycomb 10 structure formed by the surface 22 forming the recess 23 and the projection 25. The features formed in this manner are typically of a giant size, and the diameter of the recess 23 is approximately zero. 1-3. 5 cm (mm), for example: diameter is about 0. 8-1. 0 cm (mm). The textured surface 20 formed by "Magma CoatingTM" will have an overall surface roughness average of about 2500-4000 micro-inch (63. 5-101. 6 microns). The roughness average of the surface 20 is defined as the average of the distance from the line in the feature 15 to the textured surface 20. A surprisingly good result can be obtained by using the cleaning method of the present invention to perform a textured surface removal that does not erode the surface 20. For example, in the case of a textured metal surface 20 made of titanium, the cleaning method described above may be less than 1 mg/cm 2 *hr (mg/cm 2 * hr) on the metal surface 20 . Under 20, the button residue is removed from the surface 20. Conversely, conventional helium scavenging methods can cause the surface of titanium from a component 22 to erode more than 5 mg/cm 2 . Another example is to use a solution having a potassium hydroxide (KOH) versus hydrogen peroxide (H2〇2) molar ratio of about 6:1-10:1 and a temperature of about 80-95 °C. The ruthenium-containing deposits are at a rate approximately 20 times faster than the surface of the surface of the titanium component of Table 21 1304612, and the ruthenium is allowed to proceed without substantial erosion. After the cleaning of the component surface 20 is completed, the cleaning solution can be processed to recover the metal-containing material 'for example: a group containing material (this material is at least a group metal 5 or cerium oxide. Recovering the cerium-containing material from the cleaning solution can reduce the disposal due to 钽The resulting environmental pollution can also reduce the cost of proper disposal of the group. The material of the group can be reused for substrate processing. For example, the recycled button material can be used to make a vapor deposition process. Containing sigma material. In addition to the recovery button, the used cleaning solution can be treated to allow for the new use of the cleaning solution. For example, the cleaning solution can be treated to recover a reusable hydrofluoric acid. (HF)/nitric acid (HN〇3) solution. Fig. 3a is a flow chart showing an example of a cleaning assembly and a method for recovering a material containing a button. The first step of the method is to soak the surface 20 of the module into a cleaning solution. Cleaning the cleaning solution causes residues containing cerium and other metals to form a cerium-containing and other metal compound soluble in the solution. After cleaning the surface 20 of the module, a precipitation test is performed. The cleaning solution is added to precipitate a metal-containing compound from the solution and form a solid mixture. The solid mixture comprises: an interesting compound (for example, oxidation), and may also include: other metal compounds (for example) : a compound containing aluminum, titanium, and iron.) 20 As in the example indicated by the arrow in the figure h, the cleaning solution can be recovered after the solid mixture is precipitated from the solution, and then reused to clean the succeeding components. In a method of precipitating a solid mixture, the cleaning solution is neutralized by adding a sinking reagent, and the sinking reagent comprises a method for increasing the pH of the solution from about 1 to about 7. Acid or 22 1304612 base _. For example, for a solution containing hydrofluoric acid (HF) and nitric acid (HN 〇 3), a base may be added to neutralize the solution. The first contains potassium hydroxide (KOH) and In the case of a solution of hydrogen peroxide (Η2〇2), an acid may be added to neutralize the solution. An acid suitable for neutralization may contain at least one of the oxalic acid (ΗΝ〇3), 5 sulphuric acid (H2S〇4). ) and scaly 3Ρ〇4). An acid suitable for neutralization may comprise at least one of sodium hydroxide (NaOH), potassium hydroxide (barium), and calcium carbonate (CaC03), after which the solid mixture is separated from the cleaning solution. The solid mixture is separated, for example, by filtering the solution. In order to separate the compound containing other metals from the cerium-containing compound, 10 an acid having metal selectivity may be added to the solid mixture, and the acid may be substantially Dissolving the metal-containing compound under the dissolved cerium-containing compound. A suitable metal-selective acid system comprises, for example, hydrochloric acid (HC1). The solid-containing cerium-containing metal compound and the metal-containing compound having the dissolved metal The acid solution is separated by, for example, filtering the cerium-containing solid, or 15 by isolating the acid solution to separate the cerium-containing solid. Thereafter, the group-containing compound can be converted to an oxidation group using, for example, heating. Figure 3b shows a flow chart showing another example of a cleaning module and a method for recovering the cerium-containing material. The cleaning assembly surface 20 is a cerium-containing compound that dissolves the surface 20 into an aqueous cleaning solution to dissolve the surface 20. After cleaning the surface of the watch, the removal of the ruthenium containing compound from the cleaning solution is carried out using an extraction method in which the liquid is extracted by liquid. The extraction method comprises the step of kneading the aqueous cleaning solution with an organic solution which is substantially immiscible with the aqueous solution. The organic solution is a solution which highly dissolves the cerium-containing compound, and the organic solution has the ability to extract the group-containing compound from the aqueous solution. A 23 1304612 An organic solution suitable for use in the extraction of a group-containing compound comprises, for example, at least one methyl isobutyl ketone, diethyl ketone, cyclohexanone, diisobutyl ketone, and monobutyl phosphate. After the button-containing compound is extracted into the organic solution, the organic solution is separated from the aqueous solution, for example, by allowing the solution to form an organic layer and a water layer in 5 layers, and then removing a layer to separate the two. A precipitation reagent is added to the cleaning solution to precipitate a metal-containing compound from the solution and form a solid mixture. The solid mixture comprises: a group-containing compound (e.g., an oxidation group), and may also contain: other metal compounds (e.g., compounds containing aluminum, titanium, and iron). In the example indicated by the arrow in Figure 3a 10, the cleaning solution can be recovered after the solid mixture has precipitated from the solution and reused to clean the subsequent components. In a method of precipitating a solid mixture, the cleaning solution is neutralized by the addition of a precipitating agent comprising an acid or test which increases the acid value of the solution from about 1 to about 7. For example: 15 For a solution containing hydrogen acid (HF) and citric acid (HN〇3), a base may be added to neutralize the solution. For a solution comprising potassium hydroxide (KOH) and cerium peroxide (H2 〇 2), an acid may be added to neutralize the solution. An acid suitable for neutralization may comprise at least one of nitric acid (hno3), sulfuric acid (H2S04), and phosphoric acid (H3P〇4). An acid suitable for neutralization may contain up to 20 less sodium hydroxide (NaOH), potassium hydroxide (KOH), and calcium carbonate (CaC03). Thereafter, the solid mixture can be separated from the cleaning solution by, for example, filtering out the solid mixture from the solution. The separated aqueous solution can be retained or re-used as a cleaning solution as indicated by the arrow in Figure 3b. For example, the aqueous solution containing hydrofluoride 24 1304612 acid (HF) / nitric acid (HN〇3) remaining in the aqueous solution during extraction can be reused in the subsequent cleaning process to remove the ruthenium residue of the metal surface 20. base. After the extraction treatment, the ruthenium-containing compound in the organic solution can be decomposed by coke hydrolysis. In the pyrolysis decomposition, the ruthenium-containing compound 5 is heated to a temperature at which the compounds react with oxygen to form a cerium oxide compound, for example, at least about 120 ° C, for example, about 12 Torr. <t_i8〇t:. During the pyrolysis decomposition process, the organic solution and all of the decomposition inverse products are volatilized to separate from the cerium oxide compound. Alternatively, a separation step can be used to separate the organic solution from the cerium oxide compound. Alternatively, the oxidation button compound can be used to form a base metal, for example, by heating the oxidation group compound in a furnace. Figure 4 shows an example of a processing chamber having components suitable for cleaning to remove metal-containing deposits 24 (e.g., ruthenium-containing deposits 24). The chambers 1〇6 may be part of a multi-chamber platform (not shown) having a set of 15 parental interactions with a robotic arm mechanism for transferring substrates 1 to 4 between chambers 106. Connect the chamber groups. In the example shown, the processing chamber 1 includes a sputter deposition chamber, also referred to as a physical vapor deposition or PVD chamber, which can be sprayed onto a substrate 104 to deposit material (eg, a Or a variety of buttons, nitride buttons, titanium, titanium nitride, copper, tungsten, tungsten nitride, and aluminum). The chambers 2〇 to 106 comprise a sealing wall 118 surrounding the processing region, the sealing system comprising: a side wall 164, a bottom wall 166, and a top wall 168. A support ring 13 〇 can be mounted between the side wall 164 and the top wall 168 to support the top 168. Other chamber walls may include one or more baffles 120 that shield the containment wall 118 from being splashed. 25 1304612 The monthly work to the 106 series includes a substrate support 114 for supporting the substrate in a sputter deposition chamber ι6. The substrate support 114 can be floated using electrical power or a power supply 172 (e.g., a RF power supply) can be used to generate an r electrode. The substrate support Μ can also include a movable sensing 5 aperture 133 that can be used to protect the top surface 134 of the support 14 from which the substrate 104 is not placed. In operation, the substrate ι4 is introduced into the chamber 丨〇6 via a side 164 substrate loading port (not shown) of the chamber ι6, and thereafter placed on the top surface of the support block 114. When the substrate is moved into and out of the chamber 1〇6, the support base 114 can raise or lower the supporting lifting airbag, and the substrate placed on the top surface of the supporting base 11410 can use a lifting finger device (not shown) ) to raise or lower. The support block 114 can also include one or more rings, such as a set of rings 126 and a deposition ring 128, which can be used to avoid etching the support block 114 by covering at least a portion of the top surface 134 of the support block 114. In one example, the deposition ring 128 can protect the support portion 114 from the portion covered by the substrate by surrounding at least a portion of the substrate 1〇4. The collar 126 surrounds and encases at least a portion of the deposition ring 128, thereby reducing particulate deposition on the deposition ring 128 and the support seat 114 on the bottom surface. A process gas (eg, a splash gas) is introduced into the chamber 1 through a gas delivery system 112. The process gas system includes a process gas 20 supply, which may include one or more gas supplies. Source 174, the supply source is individually supplied via a line 176 having a gas flow control valve 178 (e.g., a flow controller) to the gas flowing to a set flow rate. Line 176 feeds the gas into a manifold (not shown), and several gases can be combined within the manifold to form a desired process gas composition. This 搀 26 1304612 will be a gas-feeding-gas conveyor (10) having one or several gas outlets 182 mounted in the chamber 106. Process gas; to contain a gas that is reactive (for example, argon gas), which can strongly impact the dry material and thereby cause the dry material to sneeze. The additive gas may also contain a reactive gas (for example: one or several oxygen-containing and nitrogen-containing gases) which can be formed by reacting with the splash material to form a covering substrate. 1 〇 4 layer body. The spent process gas and by-products are discharged from the chamber 106 via a discharge device 122, which includes one or more discharge ports 184, and the discharge port 184 is received by 1 〇. The gas pressure of the chamber 106 is controlled by the post-process gas being passed to a discharge line 186 having a throttle width. The discharge line 186 will be connected to a portion or a plurality of discharge pumps 19G. Typically, the chamber's squirting gas pressure is set at a level below atmospheric pressure. The squirting chamber 106 also includes a squirting target 124 facing the surface 1 〇 4 of the substrate 1 〇 4, the target comprising the material of the substrate 104 to be sputtered. The target 124 is connected to a power supply 192 and is insulated from the chamber 106 by an annular insulating ring 132. The squirting chamber 1 〇 6 also has a baffle 12 〇 which protects the sealed wall 118 of the chamber 106 from material splashing. The baffle 12 can shield the top and bottom regions of the chamber 106 by including a wall-shaped cylinder having a top baffle section and a bottom baffle section. In the example shown in Fig. 4, the raft 120 has a top section 12 that connects the support ring 13 and a bottom section 120b that connects the ring 126. A clamp baffle including a clamp ring may also be provided to simultaneously clamp the top and bottom baffle sections 12a, b. Other optional baffle designs (such as inner and outer rims) can also be used. In an example 27 1304612, one or more of the power supply 192, the target 124, and the baffle 12 are activated by, for example, a gas energizer 116 to excite the spatter gas and generate the target 124. The power supply 192 compares the floor 120 to apply a bias to the leather material 124. By applying a voltage, an electric field is generated inside the chamber 106. This electric field will excite 5 sneezing gas to form a plasma, which will strongly impact and bombard the leather material 124'. This makes the p poor material leave | The material 'and then reaches the top surface of the substrate 1. The support base 114 has an electrode 170, and the support base power supply 172 can also participate in the operation of the partial gas igniter 116 by stimulating the ionization material away from the leather material 124 and ejecting money toward the substrate 1. Furthermore, a gas excitation coil 135' can be provided inside the chamber 1〇6 10 using the power supply 192 for power supply. This coil can enhance the excitation gas characteristics (e.g., improve the excitation gas density). The gas energizing coil 135 can be supported by a coil support 137 that connects a baffle 120 or other chamber 106 wall. The chamber 106 is regulated by a controller 194 that includes command code that sets the operation of the components of the chamber 106 to effect processing of the substrate 104 within the chamber 106. For example, the controller 194 can include: a substrate positioning command [This command is to set the operation of one or several substrate support bases 130 and the substrate transfer device to achieve placement of a substrate in the chamber 106. ], a gas flow control command [this command is to set the flow control 2 〇 valve 178 operation, 俾 to set the splash gas flowing into the chamber 1 〇 6], a gas pressure control command [this command is to set the exhaust throttle The operation of the valve 188, to maintain the internal pressure of the chamber 106], a gas excitation control command [this command is to set the operation of the gas trigger 116, to set the power level of the gas excitation], a temperature control command [this instruction It is to set the temperature control of the chamber 1〇6], 28 1304612 and a processing monitoring command [this command is to perform the processing monitoring and measurement in the setting chamber 106]. Although the present invention shows and describes the specific examples, those skilled in the art Other specific examples can be devised by those skilled in the art, and the scope of the invention is set forth in the scope of the invention. For example, other chamber components other than those described in this case can be cleaned. Cleaning and recovery steps other than those described herein can be used. ~ Again, the relative or directional terminology shown in the specific example of the implementation of this case is * can be interactively transformed. Therefore, the scope of patent application for attachment in this case is not limited to the preferred specific examples, materials, or spatial arrangements described in this case. I: BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a side view of a specific example of a component having a metal-containing deposit on a surface, and FIG. 2 is a side view showing a specific example of an electrochemical etching apparatus; 15 FIG. 3a is a schematic view A flow chart for a specific example of a method for recovering a ruthenium-containing compound; Fig. 3b is a flow chart of another specific method for recovering a ruthenium-containing compound; % Fig. 4 is a one or several capable of being removed Processing medium clear. 20 Partial side view of a specific example of a processing chamber for components containing metal deposits; Figure 5 is a comparison of growth and removal of copper with different cleaning solutions containing hydrofluoric acid (HF) and nitric acid (HN03) Comparison of the percentage of copper loss by surface cleaning time; Figure 6a shows a cleaning solution containing only hydrofluoric acid (HF): 29 1304612 and another with hydrofluoric acid (HF) and nitric acid (HN03) a plot of the modified cleaning solution in a specific ratio for growth and removal of the copper surface cleaning time compared to the weight loss due to copper loss; Figure 6b is a cleaning solution using Figure 6a for growth Tantalum surface cleaning time 5 Comparative group resulting loss of weight percentages plotted. [Main component representative symbol table of the drawing] 20... component surface 130" • support ring 22···chamber assembly 132·· • insulating ring 23... recessed 133" • sensing aperture 24... containing group deposits 134" Substrate support surface 25···Λ 135·· • Coil 104···Substrate 137·· • Coil holder 105···Substrate surface 141" • Clamp baffle 106···Processing chamber 148·· • Pipeline 112···Gas conveying system 164·. • Chamber side wall 114···Substrate support 166·· • Bottom wall 116···Gas trigger 168·. • Top wall 118·· · Chamber sealing wall 170 · · Electrode 120 ... baffle 172, 192 ... power supply 120a / 120b · · baffle top / bottom section 174 · · gas supply source 122 · · · gas discharge 178 · · • Gas flow control valve 124...target 176·· • Line 126... collar 180... gas conveyor 128···deposition ring 182·· • gas outlet

30 1304612 184···氣體排放口 190· 186···排放管路 194· 188···節流閥 •排放幫浦 •控制器 3130 1304612 184···Gas discharge port 190· 186···Discharge line 194· 188···Throttle valve • Discharge pump • Controller 31

Claims (1)

第93114915號專利申請案申請專利範圍修正本 97.07.25 拾、申請專利範圍: 辦夕月%修(要丨正替換頁, 1. 一種自加工室組件清除含鈕沉積物之方法,該方法包 含·· 將該組件表面浸泡入一包含氫氟酸(HF)對比頌酸 (HN〇3)重量比例是大約1:8-1:30之清洗溶液,藉此,在 實質不侵餘該表面之下,自該表面移除該含钽沉積物。 2. 如申請專利範圍第1項之方法,其中該加工室組件表面 係包含至少一種鈦、不銹鋼、铭、及钽。 3. 如申請專利範圍第1項之方法,其中該溶液係包含低於 大約10wt%之氫氟酸(HF)。 4. 如申請專利範圍第1項之方法,其包含浸泡一具有紋理 表面粗糙度平均值大約63.5-101.6微米之加工室組件表 面。 5. 如申請專利範圍第1項之方法,其包含浸泡一具有紋理 表面包含凹陷直徑大約0.1 _3.5釐米(mm)之加工室組件 表面。 6. —種自加工室組件清除含组沉積物之方法,該方法包 含: 將該組件表面浸泡入一包含氫氧化鉀(KOH)對比過 氧化氫(H202)莫耳比例是大約6:1-10:1之溶液,藉此,在 實質不侵餘該表面之下,自該表面移除該含钽沉積物。 7. 如申請專利範圍第6項之方法,其中該加工室組件表面 係包含至少一種鈦、不錄鋼、紹、及钽。 8.如申請專利範圍第6項之方法,其中該溶液係包含:大 1304612 >月修(类)正替換頁 ----------------—啡I -------------- 約5-12M之氫氧化鉀(K〇H)以及大約〇·5_2·5Μ2過氧化 氫(Η2〇2)。 女申明專利範圍第6項之方法,其中該溶液之溫度被維 持於至少大約70°c。 5 ι〇·一種自加工室組件清除含鈕沉積物之方法,該方法包 含: 將該組件表面浸泡入一包含氫氟酸(HF)對比氧化劑 • 莫耳比例是至少大約6:1之清洗溶液,藉此,在實質不 侵蝕該表面之下,自該表面移除該含钽沉積物。 1〇 U_如申請專利範圍第項之方法,其中該氫氟酸(HF)對比 氧化劑莫耳比例是大約9:1-20:1。 12·如申請專利範圍第1〇項之方法,其中該氧化劑係包含至 少一種硝酸(hno3)、過氧化氫(H2〇2)、亞硫酸(H2S03)、 和臭氧(〇3)。 15 13_如申請專利範圍第10項之方法,其中該清洗溶液係包 % 含:大約3_2〇M之氫氟酸(HF)以及大約0.1-3M之該氧化 劑。 14· 一種自加工室組件清除含钽及含其他金屬沉積物以及 回收含组材料之方法,該方法包含: 20 (a) 將該組件表面浸泡入酸性或驗性清洗溶液,藉 此分別溶解該沉積於該表面上之含钽及含其他金屬沉 積物,以形成含鈕及含其他金屬化合物;以及 (b) 藉以下列步驟處理該溶液,以回收該含钽化合 物: 33 1304612Patent Application No. 93314915 Applicable Patent Revision No. 97.07.25 Pickup, Patent Application Scope: Office eve % repair (to correct the replacement page, 1. A method for removing button deposits from a processing chamber component, the method includes · Soak the surface of the module into a cleaning solution containing hydrofluoric acid (HF) versus tannic acid (HN〇3) in a weight ratio of about 1:8-1:30, whereby the surface is not substantially invaded. The method of claim 1, wherein the surface of the processing chamber component comprises at least one of titanium, stainless steel, inscription, and tantalum. The method of claim 1, wherein the solution comprises less than about 10% by weight of hydrofluoric acid (HF). 4. The method of claim 1, comprising immersing a textured surface roughness average of about 63.5. The surface of the processing chamber component of the 101.6 micron. 5. The method of claim 1, comprising immersing a surface of the processing chamber component having a textured surface comprising a recess having a diameter of about 0.1 to 3.5 centimeters (mm). Process chamber component removal group The method of depositing, the method comprising: immersing the surface of the component into a solution comprising potassium hydroxide (KOH) and hydrogen peroxide (H202) molar ratio of about 6:1-10:1, thereby The method of claim 6, wherein the surface of the processing chamber component comprises at least one of titanium, non-recorded steel, and 8. The method of claim 6, wherein the solution comprises: large 1304612 > monthly repair (class) positive replacement page ------------------ I -------------- about 5-12M potassium hydroxide (K〇H) and about 5·5_2·5Μ2 hydrogen peroxide (Η2〇2). The method of the invention wherein the temperature of the solution is maintained at at least about 70° C. 5 ι〇· A method of removing a deposit containing a button from a processing chamber assembly, the method comprising: immersing the surface of the component into a hydrofluoric acid containing (HF) contrast oxidant • molar ratio is a cleaning solution of at least about 6:1 whereby the cerium-containing deposit is removed from the surface without substantially eroding the surface The method of claim 1, wherein the hydrofluoric acid (HF) to oxidant molar ratio is about 9:1 to 20:1. 12. The method of claim 1, wherein The oxidizing agent comprises at least one of nitric acid (hno3), hydrogen peroxide (H2〇2), sulfurous acid (H2S03), and ozone (〇3). The method of claim 10, wherein the cleaning solution The tether % contains: about 3 2 〇M of hydrofluoric acid (HF) and about 0.1-3 M of the oxidizing agent. 14. A method of removing bismuth-containing and other metal-containing deposits from a processing chamber component and recovering the group-containing material, the method comprising: 20 (a) immersing the surface of the component in an acidic or in-situ cleaning solution, thereby dissolving the component separately a ruthenium-containing and other metal-containing deposit deposited on the surface to form a button-containing and other metal-containing compound; and (b) treating the solution by the following steps to recover the ruthenium-containing compound: 33 1304612 ⑴將一沉澱試劑添加入該溶液,藉此形成一由 含钽及含其他金屬化合物所構成之固體混合物; (ii) 自該溶液過濾該固體混合物; (iii) 將一具有金屬選擇性之酸溶液添加入該固 體混合物,該具有金屬選擇性之酸溶液係包含一種具有 金屬選擇性之酸,該酸能夠在實質不溶解該含钽化合物 之下溶解該含金屬化合物;以及 (iv) 自該被溶解之含金屬化合物將該含钽化合(1) adding a precipitating agent to the solution, thereby forming a solid mixture composed of cerium-containing and other metal-containing compounds; (ii) filtering the solid mixture from the solution; (iii) a metal-selective acid Adding a solution to the solid mixture, the metal-selective acid solution comprising a metal-selective acid capable of dissolving the metal-containing compound without substantially dissolving the ruthenium-containing compound; and (iv) Dissolved metal-containing compound 物進行分離。The substance is separated. 3434
TW93114915A 2003-12-19 2004-05-26 Cleaning tantalum-containing deposits from process chamber components TWI304612B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/742,604 US6902627B2 (en) 2002-11-25 2003-12-19 Cleaning chamber surfaces to recover metal-containing compounds
US10/846,894 US20050028838A1 (en) 2002-11-25 2004-05-13 Cleaning tantalum-containing deposits from process chamber components

Publications (2)

Publication Number Publication Date
TW200522186A TW200522186A (en) 2005-07-01
TWI304612B true TWI304612B (en) 2008-12-21

Family

ID=34798987

Family Applications (1)

Application Number Title Priority Date Filing Date
TW93114915A TWI304612B (en) 2003-12-19 2004-05-26 Cleaning tantalum-containing deposits from process chamber components

Country Status (5)

Country Link
US (1) US20050028838A1 (en)
JP (1) JP2007528938A (en)
KR (4) KR101270192B1 (en)
TW (1) TWI304612B (en)
WO (1) WO2005068681A2 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090000641A1 (en) * 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US9481937B2 (en) 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
KR101722371B1 (en) * 2016-08-05 2017-04-05 피에스테크놀러지(주) Method for cleaning a process chamber by using an electropolishing
KR101959760B1 (en) * 2017-02-23 2019-03-19 피에스테크놀러지(주) Method for cleaning a process chamber by using an electropolishing
CN109371405A (en) * 2018-12-14 2019-02-22 惠州市四维化工有限公司 A kind of secondary chemical synthesizing method of lossless magnesium and magnesium alloy
US11371159B2 (en) * 2019-06-22 2022-06-28 Applied Materials, Inc. Methods of reducing or eliminating deposits after electrochemical plating in an electroplating processor

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3117833A (en) * 1958-09-25 1964-01-14 Fansteel Metallurgical Corp Process of purifying and separating columbium and tantalum values from each other
DK449074A (en) 1974-08-22 1976-02-23 Atomenergikommissionen PROCEDURE FOR EXTRACTING TANTALS AND / OR NIOB IN FREE OR BASED FORM FROM TANTAL AND NIOB CONTAINING SOLUTIONS
US4100252A (en) * 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
JPS58153782A (en) * 1982-03-08 1983-09-12 Hitachi Denshi Ltd Regeneration of boat made of tantalum
FR2562097A1 (en) * 1984-03-28 1985-10-04 Andritz Ag Maschf Process for pickling alloy steels, copper, alloys of non-ferrous heavy metals, titanium, zirconium, tantalum and the like by means of nitric acid baths
JPS61146717A (en) * 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd Purification of tantalum
JPS63149396A (en) * 1986-12-12 1988-06-22 Kobe Steel Ltd Pre-treatment of anodic oxidation of valve metal
JPH0353084A (en) * 1989-07-18 1991-03-07 Citizen Watch Co Ltd Etching solution of tantalum
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
JP3581890B2 (en) * 1994-04-26 2004-10-27 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) * 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
SE512978C2 (en) * 1998-10-26 2000-06-12 G S G As Processing of niobium and tantalum-containing materials
JP2000265276A (en) * 1999-01-12 2000-09-26 Central Glass Co Ltd Cleaning gas
KR20010014842A (en) * 1999-04-30 2001-02-26 조셉 제이. 스위니 Apparatus and method for fabricating semiconductor devices
JP4709358B2 (en) * 2000-08-30 2011-06-22 株式会社東芝 Sputtering target and sputtering apparatus, thin film, and electronic component using the same
US20020119245A1 (en) * 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
JP2002292346A (en) * 2001-03-29 2002-10-08 Sharp Corp Method and apparatus for recovering deposited film
JP2002363662A (en) * 2001-06-01 2002-12-18 Nikko Materials Co Ltd Method for recovery of high-purity tantalum, high-purity tantalum sputtering target, and thin film deposited by using this sputtering target
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US6953120B2 (en) * 2002-02-08 2005-10-11 Cabot Corporation Method of recovering metal and/or oxide thereof in a slurry and tailings obtained from said method
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component

Also Published As

Publication number Publication date
KR20120016176A (en) 2012-02-22
US20050028838A1 (en) 2005-02-10
KR20120014234A (en) 2012-02-16
JP2007528938A (en) 2007-10-18
TW200522186A (en) 2005-07-01
KR101270192B1 (en) 2013-05-30
KR101223154B1 (en) 2013-01-17
KR101164570B1 (en) 2012-07-10
WO2005068681A2 (en) 2005-07-28
KR20070026369A (en) 2007-03-08
WO2005068681A3 (en) 2005-09-15
KR20120016177A (en) 2012-02-22

Similar Documents

Publication Publication Date Title
TWI304612B (en) Cleaning tantalum-containing deposits from process chamber components
US6902627B2 (en) Cleaning chamber surfaces to recover metal-containing compounds
JP3502096B2 (en) Plasma cleaning method for removing residue in plasma processing apparatus
US7323230B2 (en) Coating for aluminum component
KR101737378B1 (en) Reduction of copper or trace metal contaminants in plasma electrolytic oxidation coatings
JP5935174B2 (en) Method and apparatus for cleaning a deposition chamber portion using selective spray etching
CN101194046B (en) Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US7732056B2 (en) Corrosion-resistant aluminum component having multi-layer coating
US7077918B2 (en) Stripping apparatus and method for removal of coatings on metal surfaces
JP2000311889A (en) After-etching treatment method for protecting surface against corrosion characterized by plasma etching
JPH09186143A (en) Method and apparatus for cleaning by-product off plasma chamber surface
WO2001004936A1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
EP1826260A1 (en) Cleaning composition for removing impurities and method of removing impurities using the same
US8389418B2 (en) Solution for the selective removal of metal from aluminum substrates
JPH07176524A (en) Material for vacuum processing device and manufacture
WO2017047400A1 (en) Dry etching method, method for manufacturing semiconductor element and chamber cleaning method
KR20060118357A (en) Method and process for reactive gas cleaning of tool parts
US9068273B2 (en) Electrochemical removal of tantalum-containing materials
KR101583176B1 (en) Method for exfoliating coating layer of electrode for electrolysis
JP2823555B2 (en) Method using chlorine trifluoride for surface cleaning of thin film forming equipment
KR100362906B1 (en) Method of treating solid surface, substrate and semiconductor manufacturing apparatus, and manufacturing method of semiconductor device using the same
JP3582502B2 (en) Maintenance method of dry etching equipment
US20210324524A1 (en) STRIPPING OF COATINGS Al-CONTAINING COATINGS
JP2768666B2 (en) Method for removing deposits in thin film forming apparatus