TW200522186A - Cleaning tantalum-containing deposits from process chamber components - Google Patents

Cleaning tantalum-containing deposits from process chamber components Download PDF

Info

Publication number
TW200522186A
TW200522186A TW93114915A TW93114915A TW200522186A TW 200522186 A TW200522186 A TW 200522186A TW 93114915 A TW93114915 A TW 93114915A TW 93114915 A TW93114915 A TW 93114915A TW 200522186 A TW200522186 A TW 200522186A
Authority
TW
Taiwan
Prior art keywords
solution
metal
acid
cleaning
component
Prior art date
Application number
TW93114915A
Other languages
Chinese (zh)
Other versions
TWI304612B (en
Inventor
Karl Brueckner
Hong Wang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/742,604 external-priority patent/US6902627B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200522186A publication Critical patent/TW200522186A/en
Application granted granted Critical
Publication of TWI304612B publication Critical patent/TWI304612B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B3/00Extraction of metal compounds from ores or concentrates by wet processes
    • C22B3/04Extraction of metal compounds from ores or concentrates by wet processes by leaching
    • C22B3/06Extraction of metal compounds from ores or concentrates by wet processes by leaching in inorganic acid solutions, e.g. with acids generated in situ; in inorganic salt solutions other than ammonium salt solutions
    • C22B3/065Nitric acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B34/00Obtaining refractory metals
    • C22B34/20Obtaining niobium, tantalum or vanadium
    • C22B34/24Obtaining niobium or tantalum
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22BPRODUCTION AND REFINING OF METALS; PRETREATMENT OF RAW MATERIALS
    • C22B7/00Working up raw materials other than ores, e.g. scrap, to produce non-ferrous metals and compounds thereof; Methods of a general interest or applied to the winning of more than two metals
    • C22B7/006Wet processes
    • C22B7/007Wet processes by acid leaching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/46Regeneration of etching compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/08Iron or steel
    • C23G1/086Iron or steel solutions containing HF
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/19Iron or steel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • C23G1/205Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/36Regeneration of waste pickling liquors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P10/00Technologies related to metal processing
    • Y02P10/20Recycling

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Inorganic Chemistry (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A method of cleaning tantalum-containing deposits from a surface of a process chamber component includes immersing the surface of the component in a cleaning solution having a ratio of HF to HNO3 of from about 1:8 to about 1:30 by weight. In another version, the cleaning solution has a ratio of KOH to H2O2 of from about 6:1 to about 10:1 by moles. In yet another version suitable for cleaning copper surfaces, the cleaning solution includes HF and an oxidizing agent in a molar ratio of HF to the oxidizing agent of at least about 6:1. The tantalum-containing deposits can be removed from the surface substantially without eroding the surface.

Description

200522186 玖、發明說明: t發明所屬技術領域3 交互參考資料 本專利申請案是美國專利申請案序列編號 5 10/742,604[發明名稱:“Cleaning Chamber Surfaces to200522186 发明 、 Explanation of the invention: tThe invention belongs to the technical field 3 Cross reference materials This patent application is a US patent application serial number 5 10 / 742,604 [Invention name: "Cleaning Chamber Surfaces to

Recover Metal- Containing Compounds”,授權給Brueckner 等人,讓渡給Applied Materials,Inc.,提申日期:2003年12 月19日]之一個部分延續專利申請案,該案是美國專利申請 案序列編號1〇/304,535[發明名稱· “Method of Cleaning a 10 Coated Process Chamber Component”,授權給Wang等人, 讓渡給Applied Materials,Inc.,提申日期:2002年 11 月 25 日] 之一個部分延續專利申請案,兩案之全部揭露内容皆在此 併入本案做為參考資料。 發明背景. 15 本發明係關於用以自加工室組件表面清除及回收含金 屬殘基。 L先前技術3 於基材(例如:半導體晶圓及顯示器)加工中,一基材是 以該基材被置放於-加工室内來進行曝露一種激發氣體, 20且藉此於基材上(例如)沉積材料或餘刻圖案。於此種加工期 間’所產生的加工殘基會沉積於腔室内部表面。例如:於 麟沉積加工中,一來自革巴材且供用以噴賤於-基材上之 沉積材料亦會沉積於腔室内的其他組件表面,例如:沉積 於沉積環、套環、遮蓋環、内缝捧士 1、、家“板、頂緣擋板、襯壁及 200522186 對焦環。於接續加工週期中,該沉積之殘基會“飄散離開” 腔室組件表面,其後掉落於基材上而污染基材。因此,必 須定期地自腔室表面清除沉積加工殘基。 然而,自加工組件清除該包含金屬(例如:钽)之加工沉 5 積物是困難的,特別是當組件是以包含金屬之材料製成 時。當钽被喷濺沉積於基材上,某些喷濺之钽會沉積於鄰 近之腔室組件上。由於適用之清除溶液亦通常對其他金屬 (例如:用以製造加工組件之鈦)具有反應性,因此這些钽加 工沉積物是難以移除的。自此種表面清除含钽材料會導致 10 蝕刻組件及需要經常更新組件。就清除紋理金屬表面(例 如:以一種“岩漿™”加工形成之表面)而言,餘刻金屬表面 會特別具有問題。這些表面係由於具有困陷含钽加工殘基 之凹陷及孔洞,而導致難以使用傳統清除方法來移除這些 殘基。 15 當使用傳統清除方法來清除鈕時,無法回收這些清除 加工所產生之呈一數量之含钽材料。據估計於多種组沉積 加工中,喷濺钽材料只有半數沉積於基材上,其餘皆沉積 於腔室組件表面上。傳統清除方法通常是將所使用之清洗 溶液連帶溶解之钽材料一併棄置。因此,於清除腔室表面 20 之後會廢棄大量的组材料,導致據估計每年钽損失是大約 30,000英磅。由於鉅的價格昂貴且必〜使用新配製的清洗溶 液,因此钽棄置處理是不利於環境保護且高成本。 於一實例中,所欲是於基材加工期間能夠使用具有銅 表面之加工腔室組件。銅表面展現較低之熱梯度,且因此 200522186 能力令銅表面與所有沉積於表面之殘基之間的壓力降至最 低。然而,由於自此種表面清除加工殘基非常困難,因此 難以施行使用具有銅表面之組件。這部分是因為銅表面是 典型非常容易受㈣’因此使肋同能夠自組件表面银刻 及移除含鈕沉積物之清洗溶液亦會蝕刻銅表面。同時,銅 表面甚至會遭受其他不致過度侵蝕其他金屬表面(例如··鋁 或不銹鋼表面)之清洗溶液侵蝕。 因此,所欲是-種不致過度侵姓表面且能夠自組件表 面Θ除έ孟屬之殘基及沉積物(例如··含鈕沉積物)之方法。 更進一步所欲的是一種 方法。亦為所欲的是一 溶液之方法。 10 15 自含銅組件表面清除含鈕沉積物之 種回收該用以清除含钽殘基之清洗 【赛^明内溶:】 本發明係為-種自加卫室組件清除含组沉積物之方 法’该方法包含:將該組件表面浸泡人—包含氫氟酸 對比餐⑽〇3)重㈣心大約…:⑽清洗溶液 在實㈣侵㈣表面之下,自絲面歸該含_ 物0 w 十-禋自加卫室組件清除含组沉積物 法’該方法包含w件表面浸泡人—包 (K0H)fnt縣化她_耳_是大物侧之'1 液,错此,在實質不侵蝕該表 /合 组沉積物。 自絲面移除該含 本發明係為-種自加工室組件清輸沉積物之方 20 200522186 法,該方法包含:將該組件表面浸泡入一包含氫氟酸(HF) 對比一氧化劑莫耳比例是至少大約6:1之清洗溶液,藉此, 在實質不侵蝕該表面之下,自該表面移除該含钽沉積物。 本發明係為一種自加工室組件清除含组及含其他金屬 5 沉積物以及回收含鈕材料之方法,該方法包含:(a)將該組 件表面浸泡入酸性或鹼性清洗溶液,藉此分別溶解該沉積 於該表面上之含鈕及含其他金屬沉積物,以形成含钽及含 其他金屬化合物;以及(b)藉以下列步驟處理該溶液,以回 收該含组化合物:⑴將一沉澱試劑添加入該溶液,藉此形 10 成一由含组及含其他金屬化合物所構成之固體混合物;(Π) 自該溶液過濾該固體混合物;(iii)將一具有金屬選擇性之酸 溶液添加入該固體混合物,該具有金屬選擇性之酸溶液係 包含一種具有金屬選擇性之酸,該酸能夠在實質不溶解該 含組化合物之下溶解該含金屬化合物;以及(iv)自該被溶解 15 之含金屬化合物將該含钽化合物進行分離。 圖式簡單說明 在參照下列闡釋本發明實施例之實施方式、申請專利 範圍、及檢附圖式之下,可以更瞭解本發明特徵、方面、 及優點。然而,必須瞭解的是本發明各項特徵於大致上皆 20 非單一指述特定圖式,本發明係包含此等特徵之組合。其 中: 第1圖是一表面具有含金屬沉積物之組件具體例的側 視不意圖, 第2圖是一個電化學蝕刻裝置具體例的側視示意圖; 200522186 第3 a圖是一種用以回收含组化合物之方法具體例的流 程圖; 第3b圖是另一種用以回收含鈕化合物之方法具體例的 流程圖; 5 第4圖是一個具有一個或數個能夠於一清除加工中清 除含金屬沉積物之組件之加工室具體例的部分側視圖; 第5圖是一個以不同之包含氫氟酸(HF)及硝酸(HN〇3) 之清洗溶液來比較增長清除銅表面清洗時間所導致之銅損 失重量百分比之比較圖; 10 第6a圖是一個使用一種只包含氫氟酸(HF)之清洗溶液 以及另使用一種具有氫氟酸(HF)及硝酸(HN〇3)呈特定比例 之改良清洗溶液來進行增長清除銅表面清洗時間對比所導 致之銅損失重量百分比之作圖; 第6b圖是一個使用第6a圖清洗溶液來進行增長清除鈕 15 表面清洗時間對比所導致之组損失重量百分比之作圖。 t實施方式3 詳細說明 一加工室組件22係具有一個表面20,該表面20係具有 如第1圖所顯示之欲加以清洗移除之含金屬加工沉積物 20 24(例如:含鈕沉積物24),此沉積物24是於一基材104加工 期間所產生。該含組沉積物可以包含(例如):至少一種组金 屬、氣化組、及氧化组。施行一移除該含组沉積物24之清 除加工可減少腔室106内形成污染微粒、改善基材產率、以 及容許自清洗溶液回收钽。該等欲加以清洗之腔室組件22 200522186 係積聚含金屬及钽之加工沉積物24且係(例如)下列部分:一 供應腔室1〇6内部加工氣體之氣體輸送系統Π2、一供應腔 室106内部加工氣體之氣體輸送系統112、一支撐基材104之 基材支標座114、一激發加工氣體之氣體激發器116、腔室 5 密閉壁丨18、及擋板120、或一供用以自腔室106排放氣體之 氣體排放器122,此等之具體例係顯示於第4圖。 參照第4圖來看,第4圖係闡釋一物理氣相沉積腔室1〇6 之具體例,能夠加以清潔之組件係包含:一腔室密閉壁 118、一腔室擋板12〇(其包含頂部及底部擋板i2〇a&120b:)、 10 一革巴材124、一套環丨26、一沉積環128、一支撐環130、絕 緣環132、一線圈135、一線圈支撐座137、感測光圈133、 夾鉗擋板141、以及該基材支撐座114表面134。組件22之表 面20可以包含金屬(例如:至少一種鈦、不銹鋼、鋁、鋼、 及鈕)。表面20亦可以包含一種陶瓷材料(例如至少一種氧化 15 鋁、氮化鋁、及氧化矽)。 一用以移除加工沉積物24之清除步驟可以包含:令該 組件22之表面20曝露一能夠令至少部分之加工沉積物μ自 該組件22之表面20移除之酸性清洗溶液。該酸性溶液所包 含之溶解酸性化合物係能夠與加工沉積物24進行反應,且 20 能夠藉由(例如)與加工沉積物24進行反應形成易溶解於兮 酸性溶液之化合物來令其自該組件22之表面2〇移除。妙、 而,於加工沉積物24自該組件22之表面20移除之後,此酸 性溶液並不會過度侵蝕或損害該組件22表面2〇之曝露部 分。表面20可藉由浸潤、浸泡、或與部分之表面2〇進行接 10 200522186 觸來曝露酸性溶液。塗層組件22之表面2〇可以一歷時大約 3-15分鐘(例如:大約8分鐘)來浸泡酸性溶液,此浸泡時間 亦可以視加工沉積材料之組成物及厚度而定。 酸性清洗溶液之組成物是依照表面2〇之組成物以及加 5工沉積物之組成物來選擇。於一實例中,酸性溶液係包含 氮氣S文(HF)。氣氣酸可以與该積聚於表面2〇之污染物進行 反應且予以溶解。酸性溶液可額外或可選擇性包含一種非 氟化酸(例如:硝酸(HN〇3))。此非氟化試劑可以是較溫和 之化學物質,藉此容許能夠以減少内襯組件結構形成侵蝕 10 碎屑來清洗及製造表面20。此外,於一實例中,該用以清 洗表面20之酸性溶液係包含一呈適度低濃度之酸性化合 物,俾以降低對組件22之侵蝕。一呈適合濃度之酸性化合 物可以是(例如):呈低於大約15M之酸性化合物(例如:呈 大約2-15M之酸性化合物)。就一表面20包含氧化鋁或不銹 15 鋼之組件22而言,一適合之酸性溶液可以包含:大約2-8M 氫氟酸(HF)(例如:5M氫氟酸(HF))以及大約2-15M硝酸 (HN〇3)(例如:大約12M硝酸(HN〇3))。就一表面20包含鈦 之組件22而言,一適合之酸性溶液玎以包含:大約2-10M 硝酸(HN〇3)。於一實例中,一適合之酸性溶液可以包含5M 20 氫氟酸(HF)以及12M硝酸(HN〇3)。 更進一步的發現是改善清除含钽殘基之清除方法,此 改良清除方法是令表面20浸泡於/具有一種氫氟酸(HF)對 比硝酸(HN〇3)呈特定比例之溶液,藉此可以在實質不侵蝕 表面20及特別是不侵蝕金屬表面20之下移除含紐沉積物。 200522186 5 10 特別地,據♦預A X兄萄此氣氟酸(hf)對比硝酸(hn〇3)之特定比 例呈足夠低日*,口 -守’可降低對表面20之侵蝕,特別是可降低對 金屬表面20之律為 一 丨又蚀。一適合之氫氟酸(HF)對比硝酸(HN〇3)«_^_大約1:8,例如:清洗溶液可包含一氮氣酸 ()對比卿(Hn〇3)重量比例是大約m:3〇,甚至是大約 1 · 12-1:2 〇 ’ 例士σ · •大約1:15。所欲是令一配製於溶液之氫氟 糾剛濃度轉低於大約H)Wt% ,例如大約2-10wt%,甚至 :1 /。所欲是令一配製於溶液之硝酸(Η Ν Ο 3)濃度維 持至〆、大約6〇Wt% ’例如大約60-67wt%,甚至是大約65wt%。 K改。q洗效果而言,據信至少部分是由於硝酸(hn〇3) 面2〇(例如·金屬表面德行反應,藉此於該表面形成一 ,σ 、卩制仏蝕表面2〇之抗侵蝕性氧化保護層。於氫氟酸(hf) 1匕肖fec(HN〇3)呈一足夠低之比例時,氮說酸阳消硝酸 15 20 (HN〇3)之仙可以達到在實質不独表㈣之下移除該含 儿積物。氫氟酸(HF)會彳紐且溶解含纽沉積物,且藉此曝 鉻P刀之表面20。硝酸(HNO3)亦會侵姓含组沉積物(雖然是 以較低之侵蝕速率),然而硝酸(HNO3)亦同時是一強氧化 劑,因此硝酸(HN〇3)會與表面20之曝露部分進行反應且加 以氧化,藉此形成抗侵蝕性保護層。因此藉由令一溶液維持 足夠高之硝酸(HN〇3)對比氫氟酸(HF)濃度可保護表面& 到侵蝕。就清洗該包含(例如):至少一種鈦、不銹鋼及鈕之 金屬表面20而言,特別適合使用具有氫氟酸(HF)對比硝峻 (HNO3)呈改良比例之清洗溶液,該改良比例係提供一實^ 足量高於氫氟酸(HF)之硝酸(hn〇3)濃度。 、 12 200522186 於清除步驟中,可將新鮮配製之氫氟酸(HF)添加入清 洗溶液,俾以對耗用之氫氟酸(HF)進行補充。配製於溶液 中之氫氟酸(HF)會由於(例如)與含組沉積物24進行反應形 成氟化鈕化合物而被耗用。耗用氫氟酸(HF)會逐漸減慢自 5 表面20移除含钽沉積物。添加新鮮配製之氫氟酸(HF)可容 許以所欲速率來進行自表面20移除含鈕沉積物24。"Recover Metal- Containing Compounds", authorized to Brueckner et al., Transferred to Applied Materials, Inc., filing date: December 19, 2003] a part of the continuing patent application, which is the serial number of the US patent application 1〇 / 304,535 [invention name "Method of Cleaning a 10 Coated Process Chamber Component", authorized to Wang et al., Assigned to Applied Materials, Inc., date of application: November 25, 2002] continued In the patent application, the full disclosure of both cases is incorporated herein as a reference. BACKGROUND OF THE INVENTION 15 The present invention relates to the removal and recovery of metal-containing residues from the surface of processing chamber components. L Prior Art 3 Yu Ji In the processing of materials (such as semiconductor wafers and displays), a substrate is placed in a processing chamber to expose an excitation gas, and 20 is used to deposit materials or materials on the substrate (for example). Engraved pattern. During this processing, the processing residues generated will be deposited on the inner surface of the chamber. For example, in the Lin deposition process, a leather material is used and sprayed. -The deposition material on the substrate will also be deposited on the surface of other components in the chamber, for example: deposited on the deposition ring, collar, cover ring, inner seam, 1, home board, top edge baffle, lining wall and 200522186 Focus ring. During subsequent processing cycles, the deposited residues will "float away" from the surface of the chamber component and then fall on the substrate to contaminate the substrate. Therefore, deposit processing residues must be regularly removed from the chamber surface. However, it is difficult to remove the processed deposits containing metal (eg, tantalum) from the processed component, especially when the component is made of a metal-containing material. When tantalum is spray-deposited on the substrate, some of the sprayed tantalum is deposited on adjacent chamber components. Since applicable scavenging solutions are also generally reactive with other metals, such as titanium used to make processed components, these tantalum processing deposits are difficult to remove. Removal of tantalum-containing materials from such surfaces can result in 10 etched components and components that need to be updated frequently. The remaining metal surface can be particularly problematic in terms of removing textured metal surfaces, such as those formed with a “magma ™” process. These surfaces have depressions and holes that trap trapped tantalum-containing processing residues, making it difficult to remove them using conventional removal methods. 15 When conventional cleaning methods are used to remove buttons, it is not possible to recover the amount of tantalum-containing material produced by these removal processes. It is estimated that in various groups of deposition processes, only half of the sputtered tantalum material is deposited on the substrate, and the rest are deposited on the surface of the chamber component. The traditional cleaning method is usually to dispose the used cleaning solution together with the dissolved tantalum material. As a result, a large amount of material is discarded after the chamber surface 20 is removed, resulting in an estimated annual loss of tantalum of approximately 30,000 pounds. Due to the huge price and the necessity to use a newly prepared cleaning solution, the disposal of tantalum is not conducive to environmental protection and high cost. In one example, it is desirable to be able to use a processing chamber assembly having a copper surface during substrate processing. The copper surface exhibits a lower thermal gradient, and therefore the 200522186 capability minimizes the pressure between the copper surface and all residues deposited on the surface. However, since it is very difficult to remove processing residues from such a surface, it is difficult to implement the use of a component having a copper surface. This is partly because the copper surface is typically very susceptible to corrosion, so the ribs can also etch the copper surface with a cleaning solution that removes silver from the component surface and removes button deposits. At the same time, the copper surface can even be attacked by other cleaning solutions that do not excessively attack other metal surfaces (such as aluminum or stainless steel surfaces). Therefore, what is desired is a method that does not excessively invade the surname surface and is capable of removing residues and deposits (such as button-containing deposits) of the genus genus from the component surface Θ. What is further desired is a method. Also desirable is a solution method. 10 15 Recycling of button-containing deposits from the surface of copper-containing components. This cleaning is used to remove tantalum-containing residues. [Sai ^ Mingluo:] The present invention is a kind of- Method 'The method includes: immersing the surface of the component-a hydrofluoric acid-containing contrast meal. 〇3) The center of gravity is approximately ...: The cleaning solution is under the surface of the invasion, and the silk surface is returned to the containing substance. w 十-禋 Self-containment component cleaning method containing group sediments' This method involves waking the surface of a person-package (K0H) fnt county her _ ear _ is the big thing side 1 liquid, wrong, in essence does not Erodes the surface / group sediment. The method for removing sediment from the silk surface containing the present invention is a method for removing sediment from a processing chamber component. 20 200522186 method, the method comprises: immersing the surface of the component in a solution containing hydrofluoric acid (HF) vs. an oxidant Mohr The cleaning solution is at least about 6: 1, whereby the tantalum-containing deposit is removed from the surface without substantially eroding the surface. The invention is a method for removing group-containing and other metal-containing 5 sediments from a processing chamber component and recovering button-containing materials. The method includes: (a) immersing the surface of the component into an acidic or alkaline cleaning solution, thereby respectively Dissolving the button-containing and other metal-containing deposits deposited on the surface to form tantalum-containing and other metal-containing compounds; and (b) treating the solution by the following steps to recover the group-containing compound: ⑴ a precipitation reagent Added to the solution, thereby forming a solid mixture composed of groups and other metal compounds; (Π) filtering the solid mixture from the solution; (iii) adding a metal-selective acid solution to the A solid mixture, the metal-selective acid solution comprising a metal-selective acid capable of dissolving the metal-containing compound without substantially dissolving the group-containing compound; and (iv) from the dissolved 15 The metal-containing compound separates the tantalum-containing compound. Brief Description of the Drawings The features, aspects, and advantages of the present invention can be better understood with reference to the following explanation of the embodiments of the present invention, the scope of patent applications, and the drawings. However, it must be understood that each feature of the present invention is generally not a single designation, and the present invention includes a combination of these features. Among them: FIG. 1 is a side view of a specific example of a component having a metal-containing deposit on its surface, and FIG. 2 is a schematic side view of a specific example of an electrochemical etching device; 200522186 FIG. Flow chart of a specific example of a method for compounding a compound; Figure 3b is a flowchart of a specific example of another method for recovering a button-containing compound; Figure 5 is a chart with one or several metals that can be removed in a removal process Partial side view of the specific example of the processing room of the components of the sediment; Figure 5 is a comparison of the cleaning time caused by the cleaning solution containing hydrofluoric acid (HF) and nitric acid (HNO3) to increase the cleaning time to remove the copper surface Comparison chart of weight loss percentage of copper; 10 Figure 6a is an improvement using a cleaning solution containing only hydrofluoric acid (HF) and another using hydrofluoric acid (HF) and nitric acid (HN〇3) in a specific ratio The cleaning solution is used to perform the growth removal. The copper surface is compared with the copper loss weight percentage caused by the cleaning time. Figure 6b is a growth cleaning button 15 using the cleaning solution of Figure 6a to clean the surface. The comparison between the percentage of weight loss of the set of plotted cause. Embodiment 3 Detailed description A processing chamber assembly 22 has a surface 20 having metal-containing processing deposits 20 24 (eg, button-containing deposits 24) to be cleaned and removed as shown in FIG. 1. ), The deposit 24 is generated during the processing of a substrate 104. The group-containing sediment may include, for example, at least one group of metals, a gasification group, and an oxidation group. Performing a cleaning process to remove the group-containing deposit 24 can reduce the formation of contaminated particles in the chamber 106, improve the substrate yield, and allow the tantalum to be recovered from the cleaning solution. The chamber components to be cleaned 22 200522186 accumulate processing deposits containing metal and tantalum 24 and are, for example, the following parts: a gas delivery system supplying a processing gas inside the chamber 106, a supply chamber A gas conveying system 112 for processing gas inside 106, a substrate support 114 for supporting the substrate 104, a gas exciter 116 for exciting the processing gas, a closed wall of the chamber 5, 18, and a baffle 120, or one for A gas exhauster 122 for exhausting gas from the chamber 106 is shown in FIG. 4. Referring to FIG. 4, FIG. 4 illustrates a specific example of a physical vapor deposition chamber 106, and components that can be cleaned include: a chamber closed wall 118, a chamber baffle 12o (which Including top and bottom baffle i2〇a & 120b :), 10 leather material 124, a set of rings 26, a deposition ring 128, a support ring 130, an insulation ring 132, a coil 135, a coil support base 137 , A sensing aperture 133, a clamp baffle 141, and a surface 134 of the base support 114. The surface 20 of the component 22 may include a metal (e.g., at least one of titanium, stainless steel, aluminum, steel, and buttons). The surface 20 may also include a ceramic material (such as at least one aluminum oxide, aluminum nitride, and silicon oxide). A removal step for removing the processing deposit 24 may include exposing the surface 20 of the component 22 to an acidic cleaning solution capable of removing at least a portion of the processing deposit μ from the surface 20 of the component 22. The dissolved acidic compound contained in the acidic solution is capable of reacting with the processed deposit 24, and 20 can be made from the component 22 by, for example, reacting with the processed deposit 24 to form a compound that is easily soluble in the acidic solution. The surface 20 is removed. After the process deposit 24 is removed from the surface 20 of the component 22, the acidic solution does not excessively erode or damage the exposed portion of the surface 22 of the component 22. Surface 20 may be exposed to an acidic solution by wetting, immersing, or contacting a portion of the surface 20 200502186. The surface 20 of the coating component 22 can be immersed in an acidic solution for about 3-15 minutes (for example, about 8 minutes), and the immersion time can also depend on the composition and thickness of the deposited material. The composition of the acidic cleaning solution is selected according to the composition of the surface 20 and the composition of the processing deposit. In one example, the acidic solution contains nitrogen (HF). Gas acid can react with and dissolve the pollutants accumulated on the surface. The acidic solution may additionally or optionally contain a non-fluorinated acid (e.g., nitric acid (HNO3)). This non-fluorinated agent may be a milder chemical, thereby allowing the surface 20 to be cleaned and fabricated with reduced formation of erosion 10 debris from the structure of the lining component. In addition, in one example, the acidic solution used to clean the surface 20 contains an acidic compound at a moderately low concentration to reduce erosion of the component 22. An acidic compound at a suitable concentration may be, for example, an acidic compound at less than about 15M (e.g., an acidic compound at about 2-15M). For a component 22 whose surface 20 contains alumina or stainless 15 steel, a suitable acidic solution may include: about 2-8M hydrofluoric acid (HF) (eg, 5M hydrofluoric acid (HF)) and about 2 -15M nitric acid (HNO3) (for example: approximately 12M nitric acid (HNO3)). For a component 22 whose surface 20 contains titanium, a suitable acidic solution includes: about 2-10M nitric acid (HNO3). In one example, a suitable acidic solution may include 5M 20 hydrofluoric acid (HF) and 12M nitric acid (HNO3). A further discovery is to improve the removal method for removing tantalum-containing residues. The improved removal method is to soak the surface 20 in / have a solution of hydrofluoric acid (HF) and nitric acid (HN〇3) in a specific ratio, thereby enabling Niobium-containing deposits are removed below the substantially non-eroded surface 20 and especially below the non-eroded metal surface 20. 200522186 5 10 In particular, according to the pre-AX, the specific ratio of fluoric acid (hf) to nitric acid (hn03) is sufficiently low *, mouth-to-mouth can reduce erosion on surface 20, especially The law of reducing the metal surface 20 is eroded. A suitable hydrofluoric acid (HF) vs. nitric acid (HN〇3) «_ ^ _ about 1: 8, for example: the cleaning solution may contain a nitrogen acid (HN〇3) weight ratio is about m: 3 〇, or even about 1.12-1: 2 〇 'cases σ · • about 1:15. What is desired is to reduce the concentration of hydrofluoric acid that is formulated in the solution to less than about 100% Wt%, such as about 2-10% by weight, or even: 1 /. What is desired is to maintain a nitric acid (ΗNO 3) concentration in a solution to 〆, about 60 Wt% ', such as about 60-67 wt%, or even about 65 wt%. K changed. As far as the cleaning effect is concerned, it is believed to be at least partly due to the corrosion resistance of the nitric acid (hn〇3) surface 20 (for example, a metallic surface virtue), thereby forming a σ, etch-resistant, corrosion-resistant surface 20 on the surface Oxidation protective layer. When the hydrofluoric acid (hf) 1 and fec (HN〇3) are at a sufficiently low ratio, the nitrogen and acidity can be achieved by 15-20 (HN〇3). Remove the child-containing deposits below. Hydrofluoric acid (HF) will dissolve and dissolve the button-containing deposits, and thereby expose the surface of the chromium P knife 20. Nitric acid (HNO3) will also invade the group-containing deposits ( Although it has a lower erosion rate, nitric acid (HNO3) is also a strong oxidant. Therefore, nitric acid (HN03) will react with and oxidize the exposed part of the surface 20, thereby forming an anti-corrosive protective layer. .So by maintaining a solution with a high enough nitric acid (HNO3) vs. hydrofluoric acid (HF) concentration, the surface can be protected from erosion. Wash the metal containing (for example) at least one titanium, stainless steel and button As for the surface 20, it is particularly suitable to use a cleaning solution having an improved ratio of hydrofluoric acid (HF) to nitric oxide (HNO3). For example, a sufficient amount of nitric acid (hn03) concentration higher than hydrofluoric acid (HF) is provided. In the removal step, freshly prepared hydrofluoric acid (HF) can be added to the cleaning solution. To supplement the consumed hydrofluoric acid (HF). The hydrofluoric acid (HF) formulated in the solution will be consumed, for example, by reacting with the group-containing sediment 24 to form a fluorinated button compound. Consumption Hydrofluoric acid (HF) will gradually slow down the removal of tantalum-containing deposits from 5 surface 20. The addition of freshly prepared hydrofluoric acid (HF) may allow removal of button-containing deposits 24 from surface 20 at a desired rate.

於一實例中,清洗溶液之組成物可以就該自含銅金屬 表面20清除含組沉積物來進行最佳化。特別地,據發現一 清洗溶液可以包含呈一預先設定莫耳比例之氫氟酸(HF)及 10 一種氧化劑,藉此能夠在不過度侵蝕銅表面20之下改善含 钽沉積物24之清除。於一實例中,清洗溶液包含一氫氟酸 (HF)對比一氧化劑之莫耳比例是至少大約6:1,例如:至少 大約9:1,甚至是至少大約20:1。例如:清洗溶液可包含一 氫氟酸(HF)對比一氧化劑之莫耳比例是大約6:1-40:1,例 15 如:大約9:1-20:1。一適合配製於清洗溶液之氧化劑濃度可 以是低於大約3M(例如:大約0.1-3M),甚至是低於大約 1M(例如:大約0.1-1M)。改良之清洗溶液係包含呈一預先 設定莫耳比例之氫氟酸(HF)及一種氧化劑,藉此可以對銅 表面20提供一種良好之侵触含钽沉積物24選擇性,例如: 20 提供一選擇性是至少大約40:1,甚至是至少大約50:1。 氧化劑係包含一能夠氧化其他化合物及材料(例如:含 組沉積物)之化合物,且典型係包含一種含氧化合物。於一 實例中,一適合之氧化劑係包含石肖酸(HN〇3)。更進一步發 現就提供良好清除結果之氧化劑而言,氧化劑可以額外於 13 200522186 包含硝酸(HN〇3)之外或以替代硝酸(ΗΝ〇^來包含至少一 種過氧化氫(吐〇2)、亞硫酸(H2S〇3)、臭氧(ο;)。例如:可 以藉由將臭氧氣體導入清洗溶液來將所欲比例《臭氧配製 入清洗溶液。 5 就一適合用以自組件含銅表面20清除含鈕沉積物之清 洗溶液貫例而s,該氧化劑係包含硝酸(ΗΝ〇^。例如:該 清洗浴液之配製是以(u)大約5-1〇 v〇1%之濃度大約7〇 wt% 之硝酸(HN〇3)儲存溶液來攙合⑴大約45 v〇1%之濃度大約 49 wt%之氫氟酸(HF)儲存溶液。其餘之溶液係包含水,較 10佳是去離子水。此溶液之氫氟酸(HF)對比硝酸(HN〇3)莫耳 比例是大約9:1(配製10 vol%硝酸(HNO3))至大約l9:i(配製5 vol%硝酸(HN03))。 就發現一清洗溶液可以藉由包含呈一預先設定莫耳比 例之氫氟酸(HF)及一種氧化劑來改善不過度侵蝕銅表面2〇 15 之含鈕沉積物24清除而言,這並非預期,這是因為鋼係典 型非常易遭受氧化劑(例如:硝酸(HN〇3))之化學攻擊而導 致易受此種試劑侵蝕。同時,含鈕沉積物24典型不會受只 包含氫氟酸(HF)之溶液以一所欲之高速率進行侵蝕。然 而,據觀測在組合呈預先設定莫耳比例之氫氟酸(HF)及— 2〇 種氧化劑之下,玎以達成一種協進效應,藉此可改善含在曰 沉積物之清除。在不限制此發現是任何一種特定化學機制 下’據推論氧化弹j之作用疋以一冋fe姓速率來侵餘表面2 〇 之含短沉積物,藉此增加該配製於溶液之氫氟酸(hf)的清 除速率。然而,由於過量的氧化劑會導致快速蝕刻及侵餘 14 200522186 銅表面20,因此所欲是令氧化劑濃度維持低於氫氟酸(HF) 濃度。就組件表面20包含銅以外的金屬(例如:鋁或不銹鋼 表面)而言,通常清洗溶液需要具有一實質較低之氫氟酸 (HF)對比硝酸(HN〇3)莫耳比例,然而更進一步的驚喜是該 5 氫氟酸(HFV氧化劑清洗溶液會具有改善之清除銅的能力。 因此,使用氫氟酸(HF)對比硝酸(HNO3)莫耳比例呈一預先 設定莫耳比例之改良清洗溶液來清洗鋼表面2〇,可以獲得 超過預期之良好清除結果,且藉此可以於基材加工室1〇6有 效使用具有鋼表面20之組件22。 10 第5_6b圖係顯示使用不同清洗溶液清洗表面之比較數 據。第5圖之比較數據所使用之清洗溶液係具有氫氟酸(hf) 對比硝酸(HNO3)莫耳比例呈一低於所欲至少6:1之相當低 的莫耳比例。為了進行比較,令銅表面20浸泡入具有氫氟 酸(HF)對比硝酸(HN〇3)莫耳比例呈⑴2:1 (於第5圖標記為直 15線2〇〇)以及(ii)1:2(於第5圖標記為直線202)之清洗溶液内。 該被標記為直線2〇〇之溶液配製是攙合丨體積份數之49赠% 氫氟酸(HF)儲存溶液、丨體積份數之7〇 wt%硝酸(hn〇3)儲存 溶液、以及1體積份數之去離子水。該被標記為直線2〇2之 溶液配製是攙合丨體積份數之49 wt%氳氟酸(HF)儲存溶液 20以及4體積份數之70训%硝酸(HN〇3)儲存溶液。於清洗處理 期間以間隔時間來量測個別之表面遭受侵蝕的銅重量百分 比,然後以此重量百分比來對增長之清洗時間作圖。第^圖 顯示兩種清洗溶液皆導致不為所欲之高位准的銅表面侵 蝕,就4被標記為直線2〇〇之溶液而言,於歷時僅大約5分 15 200522186 在里之後就已钕蝕2〇wt%之銅表面,而該被標記為直線2〇2之 /合液則夂歷時大約5分鐘後會侵蝕略微多於25加%之銅表 面且方、歷日守大約1〇分鐘之後會侵蝕超過30wt%。因此, 使用此等清洗溶液來清洗銅表面2G會導致不為所欲之結 5 果。 10 15 20 第6a及6b圖係顯示使用一具有氫氟酸(HF)對比硝酸 (HNO3)壬特定比例之清洗溶液所獲得之超越預期之良好清 洗結果°於“圖中’令銅表面2Q浸泡人下列溶液:⑴二 單獨配製大約15M氮氣酸(HF)之比較溶液(標記為直線 2〇4)、以及(ii)一具有氫氟酸(HF)對比硝酸(hn〇3)莫耳比例 呈大約20:1之改良清洗溶液(標記為直線206)。該比較、、容/ 之配製是攙合1體積份數之49 wt%氫氟酸(HF)儲存溶^合/夜 體積份數之去離子水。該改良溶液之配製是攙合叫:二 數之49 wt%氫氟酸(HF)儲存溶液、丨體積份數之%二、份 確酸 (HN〇3)儲存溶液、以及1〇體積份數之去離子水。於清、、_ 理期間係以間隔時間來量測個別之表面遭受侵餘的鋼义 百分比,然後以侵蝕重量百分比來對增長清洗時間作圖里 第6a圖係顯示使用該包含氫氟酸(hf)之比較清先^In one example, the composition of the cleaning solution can be optimized for removing group-containing deposits from the copper-containing metal surface 20. In particular, it has been found that a cleaning solution may include hydrofluoric acid (HF) and an oxidant in a predetermined mole ratio, thereby improving the removal of tantalum-containing deposits 24 without excessively eroding the copper surface 20. In one example, the molar ratio of the cleaning solution to monohydrofluoric acid (HF) to oxidant is at least about 6: 1, for example: at least about 9: 1, or even at least about 20: 1. For example, the cleaning solution may contain a molar ratio of hydrofluoric acid (HF) to an oxidant of about 6: 1 to 40: 1, for example 15: about 9: 1 to 20: 1. An oxidant concentration suitable for formulating a cleaning solution may be less than about 3M (e.g., about 0.1-3M), or even less than about 1M (e.g., about 0.1-1M). The improved cleaning solution contains hydrofluoric acid (HF) and an oxidizing agent in a predetermined mole ratio, thereby providing a good selectivity to the tantalum-containing deposit 24 on the copper surface 20, for example: 20 provides a choice Sex is at least about 40: 1, even at least about 50: 1. An oxidant contains a compound capable of oxidizing other compounds and materials (for example, containing sediments), and typically contains an oxygen-containing compound. In one example, a suitable oxidizing agent comprises lithocholic acid (HNO3). It was further found that, as far as the oxidant that provides good clearance results, the oxidant can be added in addition to or containing nitric acid (HNO) in addition to 13 200522186 to contain at least one type of hydrogen peroxide (TO2) Sulfuric acid (H2S〇3), ozone (ο;). For example, the ozone can be formulated into the cleaning solution by introducing ozone gas into the cleaning solution. 5 One is suitable for removing copper from the component-containing copper surface 20 The cleaning solution of the button deposits is conventional. The oxidant contains nitric acid (ΗΝ〇 ^. For example, the cleaning bath is formulated at a concentration of (u) of about 5 to 10% and about 70% by weight. The storage solution of nitric acid (HNO3) is combined with a storage solution of about 45 v01% of hydrofluoric acid (HF) at a concentration of about 49 wt%. The rest of the solution contains water, preferably 10 is deionized water. The molar ratio of hydrofluoric acid (HF) to nitric acid (HNO3) in this solution is about 9: 1 (prepared at 10 vol% nitric acid (HNO3)) to about 19: i (prepared at 5 vol% nitric acid (HN03)). It was found that a cleaning solution can be improved by including hydrofluoric acid (HF) in a predetermined mole ratio and an oxidizing agent. This is not expected in terms of the removal of the button-containing deposits 24 that attack copper surface 2015. This is because steel is typically very susceptible to chemical attack by oxidants (such as nitric acid (HN〇3)), which makes it vulnerable to such agents. Erosion. At the same time, the button-containing deposits 24 are typically not eroded by a solution containing only hydrofluoric acid (HF) at a desired high rate. However, it is observed that the hydrofluoric acid ( HF) and — 20 kinds of oxidants, to achieve a synergistic effect, which can improve the removal of sediments contained in it. Without limiting this discovery to any specific chemical mechanism, it is inferred that Action: It can invade the surface containing 20% of short deposits at a rate of Fe, thereby increasing the removal rate of the hydrofluoric acid (hf) formulated in the solution. However, excessive etching will cause rapid etching and attack. 14 200522186 Copper surface 20, so it is desirable to keep the concentration of oxidant below the concentration of hydrofluoric acid (HF). For component surface 20 containing metals other than copper (such as aluminum or stainless steel surfaces), the cleaning solution usually needs to have One A lower molar ratio of hydrofluoric acid (HF) to nitric acid (HNO3), but a further surprise is that the 5-hydrofluoric acid (HFV oxidant cleaning solution will have improved ability to remove copper. Therefore, the use of hydrogen The molar ratio of fluoric acid (HF) to nitric acid (HNO3) is a modified cleaning solution with a predetermined molar ratio to clean the steel surface 20, which can achieve better than expected cleaning results, and can be used in the substrate processing room 1 〇6 Effective use of the component 22 with a steel surface 20. 10 Figure 5_6b shows comparative data for cleaning the surface with different cleaning solutions. The comparison data in Figure 5 uses a cleaning solution with a molar ratio of hydrofluoric acid (hf) to nitric acid (HNO3) which is a relatively low molar ratio of at least 6: 1 as desired. For comparison, the copper surface 20 was immersed in a molar ratio of hydrofluoric acid (HF) to nitric acid (HN03) at a ratio of 于 2: 1 (labeled as straight 15 line 200 in Figure 5) and (ii) 1 : 2 (labeled as line 202 in Figure 5) in the cleaning solution. The solution marked as a straight line of 200 is a combination of 49% by volume hydrofluoric acid (HF) storage solution, 70% by weight nitric acid (hn03) storage solution, and 1 part by volume of deionized water. The solution labeled as straight line 202 was prepared by combining 49% by weight of fluorinated acid (HF) storage solution 20 and 4 parts by volume of 70% nitric acid (HNO3) storage solution. During the cleaning process, the weight percentage of copper that has been eroded on an individual surface is measured at intervals, and then the increasing cleaning time is plotted against this weight percentage. Figure ^ shows that both cleaning solutions lead to undesirably high levels of copper surface erosion. For the solution marked 4 as a straight line of 200, it took only about 5 minutes 15 200522186 to have been neodymium. Etching 20wt% of the copper surface, and the / marked as a straight line of 2002 will erode slightly more than 25 plus% of the copper surface after about 5 minutes, and it will last about 10 minutes. It will erode more than 30wt% afterwards. Therefore, using these cleaning solutions to clean 2G on the copper surface will lead to undesired results. 10 15 20 Figures 6a and 6b show better cleaning results than expected obtained by using a cleaning solution with a specific ratio of hydrofluoric acid (HF) to nitric acid (HNO3). ° In the picture, the copper surface is immersed in 2Q The following solutions were prepared: (2) a comparative solution of approximately 15M nitrogen acid (HF) prepared separately (labeled as straight line 204), and (ii) a molar ratio of hydrofluoric acid (HF) to nitric acid (hn03). Improved cleaning solution (marked as straight line 206) at about 20: 1. The comparison, capacity, and formulation are combined with 49 wt% hydrofluoric acid (HF) storage solution per 1 volume part per night volume. Deionized water. The formulation of the improved solution is a combination of: 49% by weight of hydrofluoric acid (HF) storage solution, 丨% by volume of two, parts of HNO3 storage solution, and 1 〇 Deionized water in parts by volume. During the period of cleaning, cleaning, and measurement, the percentage of the steel surface that has suffered from erosion is measured at intervals, and then the growth cleaning time is plotted as the percentage of erosion weight in Figure 6a. It is shown that the use of the hydrofluoric acid (hf) -containing solution is relatively clear ^

與使用該包含氫氟酸(HF)及琐酸(ΗΝ03)二者呈莖| S 夫十比例大 約20:1之改良清洗溶液來清洗銅表面2〇之銅損失重旦 比。該比較溶液產生幾近沒有或無銅表面侵蝕。而兮勺刀 氫氟酸(HF)及硝酸(HNO3)之改良溶液則產生輕微的铜$ 3 侵蝕,較諸第5圖標記為直線200及202之溶液而今,4 '面 σ,改良溶 液(直線206)是以一非常緩慢的速率及一非常緩慢的鋼才。、 16 200522186 重量百分比來進行侵蝕。例如:改良清洗溶液(直線206)於 歷時約略超過100分鐘後之銅損失重量百分比係僅達到約 略低於0.15 %。對比之下’比較溶液(第5圖直線200及202) 則於經歷清洗僅5分知後就已經達到2 〇%及約略超過2 $ %之 5銅損失重量百分比,此百分比係超過該具有氫氟酸(HF)對 比硝酸(HN〇3)呈該預先設定比例之改良清洗溶液的1 〇〇 倍。甚至於經歷清洗大約350分鐘之後,該具有氫氟酸(HF) 對比硝酸(HNO3)呈該預先設定比例之改良清洗溶液亦僅導 致表面20損失一約略超過大約0·20 wt%之銅。因此,使用 10該具有氫氟酸(HF)對比硝酸(HNO3)呈該預先設定比例之改 良清洗溶液來清洗銅表面20可實質不侵姓銅表面2〇。 第6b圖係顯示钽表面曝露諸等具有同第6a圖組成物之 清洗溶液的結果。直線208是該包含大約15M氫氟酸(HF)之 比較清洗溶液的清洗結果,直線210是該具有一呈預先設定 15 氫氟酸(HF)對比硝酸(HNO;)比例是大約20:1之改良清洗溶 /夜的清洗結果。圖式之數據量測是令组表面浸泡入個別之 清洗溶液,其後於清洗處理期間是以間隔時間來量測個別 之表面遭受侵蝕的钽重量百分比,藉此測定個別之溶液的 '凊除效能。就個別之溶液分別以侵蝕重量百分比來對增長 20清洗時間作圖。 $ 6b圖之結果係顯示較諸該只包含氫氟酸(HF)之溶液 而吕’該具有氫氟酸(HF)對比硝酸(hn〇3)比例呈一預先設 定比例之改良清洗溶液可提供優良的含钽材料清除。例 如·該具有氫氟酸(HF)及硝酸(HN〇3)之改良清洗溶液(直線 17 200522186 210)於清洗歷時大約150分鐘之後可以自表面移除超過5 wt%之鈕。對比之下,該只包含氫氟酸(HF)之溶液(直線2〇8) 則於歷時相同時間之後只移除大約1 wt%之组。再者,就第 6a圖與第6b圖進行比較之下,可顯示該具有氫氟酸(hf)對 5比硝酸(HNO3)呈該預先設定比例之改良清洗溶液展現高度 的組/銅選擇性。該改良清洗溶液如第6a圖之直線206所顯示 係於經歷清洗大約350分鐘之後只損失大約0.22之銅,然而 如第6b圖之直線210所顯示於歷時相同時間之後卻可移除 大約11 wt%之鈕。因此,該改良清洗溶液係具有一鈕/銅選 10擇性是大約50:1。因此,就實質不侵蝕組件表面且能夠自 含銅組件表面有效清除含钽殘基而言,一具有氫氟酸(HF) 對比氧化劑(例如:硝酸(HNO3))呈該預先設定比例之溶液 可產生改善之結果。 15 20 於尚有另-實例中,可以令表面20浸泡入一包含氯氧 化钟(KOH)及過氧化氫(H2〇2)之清洗溶液來令含组沉積物 24自表面2〇清除。該清洗溶液所具有之氫氧化钾(KOH)對 比過氧化氫(H202)比例被設定來達成在實質不侵歸面 之下移除該含纽沉積物24。—適合之氫氧化钾(k〇h)對比 過氧化氫(η2〇2)莫耳比例是大約6:μ〇:ι,例如:大約 5.1低方、或门方、此所欲比例範圍之比例皆會降低對含 組沉積物之選擇性’且導致_及侵I錄面2G。-適合之 氫氧化奸(ΚΟΗ)濃度是(例如)大約5_ΐ2Μ,甚至是大約 5 1〇Μ例如.大、额。—適合之過氧化氫(Η2ο2)濃度是(例 如)大約0.5-2.5Μ’甚至是大約〇·5_2Μ,例如:大讀。同 18 200522186 時,據發現令該包含氫氧化鉀(KOH)與過氧化氫(H202)之清 洗溶液維持一適宜之溫度,可增進沉積物移除速率,藉此 改善含钽沉積物24之移除。一適合之清洗溶液溫度是至少 大約70°C,例如大約80-95°C,甚至是至少大約90°C。 5 於尚有另一種清除方法中,一金屬表面20是以一種電 化學I虫刻加工來進行清洗。於此種加工中,該組件22之金 屬表面20係供用為陽極,且令其如第2圖所顯示地連接一電 源30之正極31。令該金屬表面20浸泡入一具有一種包含電 解質浴液之電化學浴33。該電化學浴液亦可同時或可選擇 10 性包含一種可選擇性餘刻含组沉積物之钱刻試劑,例如: 一種氫氟酸(HF)、硝酸(HN〇3)、氫氧化鉀(KOH)、及過氧 化氫(H2〇2)。例如:電化學浴可以包含一種上文所述之硝 酸(HN〇3)/氫氧化鉀(KOH)或氫氧化鉀(KOH)/過氧化氫 (H2〇2)清洗溶液。該浴液亦可包含其他的清除試劑,例如: 15 氫氣酸(HC1)、硫酸(H2S〇4)、及甲醇。於一實例中,該浴液 是使用一種包含氫氟酸(HF)、硫酸(H2S04)、及曱醇之溶液 來進行選擇性電化學蝕刻含钽沉積物。令一連接電源30負 極32之陰極34亦浸泡入浴液33。自電源30對金屬表面20及 陰極34施加一偏壓時,會誘發該居於表面20之含鉅沉積物 20 24改變一種氧化狀態,而導致含组沉積物24(例如:組金屬) 改變為該等可溶解於該電化學蝕刻浴液之離子形式,藉此 令該含钽沉積物24自表面20被“蝕刻”移除。所欲是維持電 化學蝕刻加工條件(例如):施加至該金屬表面20之電壓、電 化學蝕刻溶液之酸鹼值、及溶液溫度,俾以在實質不侵蝕 19 200522186 金屬表面2〇之下能夠自金屬表面2〇選擇性移除含鈕沉積 物。 此等清除方法係特別適合該等如第丨圖所顯示之具有 紋理之表面20。該具有紋理表面之組件22可藉由提供一種 5 令加工殘基附著之“黏附,,表面,來減少於加工室内部產生 微粒。於一實例中,該進行清除含鈕沉積物之組件22係包 g σ亥寺以一種石聚ΤΜ加工來形成紋理表面之組件,此種 組件係(例如)下列美國專利申請案所描述之組件:美國專利 申請案序列編號:10/653,713 [授權給:West等人,提申曰 10 期·· 2002年9月 2日,發明名稱:“Fabricating and Cleaning Chamber Components Having Textured Surfaces”]、美國專利 申請案序列編號:10/099,307 [提申日期:2002年3月13曰, 授權給·· Popiokowski等人]以及美國專利申請案編號: 10/622,178 [提申曰期:2003年7月17曰,授權給: 15 等人],以上申請案皆已共同讓渡給AppiiedCompared with the use of the improved cleaning solution containing hydrofluoric acid (HF) and pico acid (ΗΝ03), the ratio is about 20: 1, and the copper loss is greater than about 20: 1. This comparative solution produced little or no copper surface erosion. However, the modified solution of hydrofluoric acid (HF) and nitric acid (HNO3) produced a slight copper $ 3 erosion, compared with the solutions marked as straight lines 200 and 202 in Fig. 5. Now, the 4 'face σ, the modified solution ( Line 206) is at a very slow rate and a very slow steel. , 16 200522186 weight percent to carry out erosion. For example, the weight percentage of copper lost in the improved cleaning solution (line 206) after approximately more than 100 minutes reached only slightly less than 0.15%. In contrast, the comparison solution (line 200 and 202 in Fig. 5) has reached 20% and approximately 2% of the copper loss weight percentage of 5 after only 5 minutes of cleaning. This percentage is in excess of that with hydrogen Fluoric acid (HF) vs. nitric acid (HNO3) is 1000 times the improved cleaning solution at this preset ratio. Even after about 350 minutes of cleaning, the improved cleaning solution with hydrofluoric acid (HF) vs. nitric acid (HNO3) in the preset ratio only caused the surface 20 to lose a copper slightly over about 0.20 wt%. Therefore, cleaning the copper surface 20 with the improved cleaning solution having hydrofluoric acid (HF) and nitric acid (HNO3) at the preset ratio can substantially not invade the copper surface 20. Fig. 6b shows the results of tantalum surface exposure to various cleaning solutions having the same composition as in Fig. 6a. Line 208 is the cleaning result of the comparative cleaning solution containing about 15M hydrofluoric acid (HF), and line 210 is the one with a preset 15 hydrofluoric acid (HF) to nitric acid (HNO;) ratio of about 20: 1. Improved cleaning / night cleaning results. The data measurement of the figure is to immerse the surface of the group into an individual cleaning solution, and then measure the weight percentage of the tantalum that has been eroded by the individual surface at an interval during the cleaning process, thereby determining the 'elimination of each solution efficacy. The individual solutions were plotted against the erosive weight percentages over 20 cleaning times. The results of the $ 6b graph show that compared to the solution containing only hydrofluoric acid (HF), the modified cleaning solution with a preset ratio of hydrofluoric acid (HF) to nitric acid (hn03) can be provided. Excellent removal of tantalum-containing materials. For example, the improved cleaning solution (linear 17 200522186 210) with hydrofluoric acid (HF) and nitric acid (HNO3) can remove more than 5 wt% of the button from the surface after about 150 minutes of cleaning. In contrast, the solution containing only hydrofluoric acid (HF) (line 208) removed only about 1 wt% of the group after the same time. Moreover, comparing Figures 6a and 6b, it can be shown that the improved cleaning solution with hydrofluoric acid (hf) versus 5 nitric acid (HNO3) in the preset ratio exhibits a high group / copper selectivity. . The improved cleaning solution as shown by line 206 in FIG. 6a shows that only about 0.22 copper is lost after about 350 minutes of cleaning. However, as shown by line 210 in FIG. 6b, about 11 wt can be removed after the same time. % Button. Therefore, the improved cleaning solution has a one-button / copper selectivity of about 50: 1. Therefore, as far as it does not substantially erode the surface of the component and can effectively remove tantalum-containing residues from the surface of the copper-containing component, a solution with hydrofluoric acid (HF) contrast oxidant (for example: nitric acid (HNO3)) in the preset ratio can be Produce improved results. 15 20 In another example, the surface 20 may be immersed in a cleaning solution containing chlorinated clock (KOH) and hydrogen peroxide (H202) to remove the group-containing deposit 24 from the surface 20. The ratio of potassium hydroxide (KOH) to hydrogen peroxide (H202) in the cleaning solution is set to achieve removal of the button-containing deposits 24 under a substantially non-invasive surface. —The suitable molar ratio of potassium hydroxide (k0h) to hydrogen peroxide (η2202) is about 6: μ〇: ι, for example: about 5.1 low side, or gate side, the ratio of this desired range of ratios Both will reduce the selectivity of the sediments containing groups' and lead to 2G. -A suitable concentration of KOH is, for example, about 5 μM to 2 μM, and even about 5 10 μM, for example, a large amount. -A suitable hydrogen peroxide (Η2ο2) concentration is, for example, about 0.5-2.5M 'or even about 0.5-2M, for example, reading. At the same time as 18 200522186, it was found that maintaining the cleaning solution containing potassium hydroxide (KOH) and hydrogen peroxide (H202) at a suitable temperature can increase the removal rate of the deposits, thereby improving the migration of the tantalum-containing deposits 24 except. A suitable cleaning solution temperature is at least about 70 ° C, such as about 80-95 ° C, and even at least about 90 ° C. 5 In still another method of cleaning, a metal surface 20 is cleaned by an electrochemical etching process. In such processing, the metal surface 20 of the module 22 is used as an anode, and is connected to the positive electrode 31 of a power source 30 as shown in FIG. 2. The metal surface 20 is immersed in an electrochemical bath 33 having an electrolyte bath. The electrochemical bath can also or optionally include a selective etching agent containing a group of deposits, such as: a hydrofluoric acid (HF), nitric acid (HN〇3), potassium hydroxide ( KOH), and hydrogen peroxide (H202). For example, the electrochemical bath may contain a nitric acid (HNO3) / potassium hydroxide (KOH) or potassium hydroxide (KOH) / hydrogen peroxide (H202) cleaning solution as described above. The bath may also contain other scavenging reagents, such as: 15 Hydrogen Acid (HC1), Sulfuric Acid (H2S04), and Methanol. In one example, the bath uses a solution containing hydrofluoric acid (HF), sulfuric acid (H2S04), and methanol to selectively electrochemically etch tantalum-containing deposits. The cathode 34 of a negative electrode 32 connected to the power source 30 is also immersed in the bath solution 33. When a bias voltage is applied from the power source 30 to the metal surface 20 and the cathode 34, the giant deposits 20 and 24 on the surface 20 are induced to change an oxidation state, and the group-containing deposits 24 (for example, the group of metals) are changed to the The ionic form is soluble in the electrochemical etching bath, thereby allowing the tantalum-containing deposit 24 to be "etched" from the surface 20 and removed. What is desired is to maintain the electrochemical etching processing conditions (for example): the voltage applied to the metal surface 20, the pH value of the electrochemical etching solution, and the solution temperature, so as to be able to substantially not erode below 19 200522186 metal surface 20 The button-containing deposits are selectively removed from the metal surface. These removal methods are particularly suitable for textured surfaces 20 as shown in the figure. The component 22 with a textured surface can reduce the generation of particles inside the processing chamber by providing a "stick, surface" that allows processing residues to attach. In one example, the component 22 system for removing button-containing deposits Bao g σ Hai Temple uses a stone poly-T processing to form a textured surface component, such a component is described in, for example, the following US patent application: US Patent Application Serial Number: 10 / 653,713 [Granted to: West Et al., Issue 10: September 2, 2002, Invention Name: "Fabricating and Cleaning Chamber Components Having Textured Surfaces"], US Patent Application Serial Number: 10 / 099,307 [Date of Application: 2002, 3 On the 13th, authorized to Popiokowski et al.] And US patent application number: 10 / 622,178 [dating date: July 17, 2003, authorized to: 15 et al.], All the above applications have been Common transfer to Appiied

Materials,Inc·,且在此以其全部内容併入本案做為參考資 料。組件22亦可包含具有紋理表面之塗層組件,該塗層是 (例如)下述專利申請案所描述之電漿喷覆塗層或雙弧喷覆 塗層:美國專利申請案編號:10/304,535,授權給:Wang等 20 人’提申日期:2002年11月25日,共同讓渡給Applied Materials,Inc.,且在此以其全部内容併入本案做為參考資 料0 該“岩漿塗層TM”紋理金屬表面20是藉由產生一電磁能 置束以及將其引至組件22表面110來予以形成。此電磁能 20 200522186 量束較佳是一道電子束,但亦可以包含質子、中子、χ射線、 及類似物。典型是令電子束聚集於一表面22區域且歷時一 段時間,於此期間電子束會與表面20進行交互作用。據信 電子束是藉由快速加熱表面22區域來形成特徵。此快速加 5 熱會導致某些表面材料向外凸出,藉此於材料向外凸出處 形成凹陷23以及於材料凸出後再度沉積之區域形成凸起 25。於該區域形成所欲特徵之後,電子束會掃瞄不同的組 件表面22區域,藉此於新的區域形成特徵。該完成之表面 22會具有一種由表面22形成凹陷23及凸起25所構成之蜂巢 10 狀結構。以此種方法形成之特徵典型地是呈巨觀尺寸,且 凹陷23之直徑範圍是大約0.1-3.5釐米(mm),例如:直徑大 約0.8-1 ·0釐米(mm)。以“岩漿塗層TM”形成之紋理表面20會 具有一種整體表面粗糙度平均值是大約2500-4000微英吋 (63.5-101.6微米)。該表面20之粗糙度平均值定義是自特徵 15 中線至紋理表面20的距離平均值。 使用本發明清除方法來進行不侵蝕表面20之紋理表面 清除可獲得令人驚訝之良好結果。例如:就一以鈦製成之 紋理金屬表面20而定,上文所述之清除方法可以在對該金 屬表面20之侵#是低於1毫克/平方公分*小時(mg/cm2*hr) 20 之下,進行自該表面20清除含组殘基。相反地,傳統的組 清除方法會導致自一組件22之鈦表面侵#超過5毫克/平方 公分。另一實例是使用一具有氫氧化鉀(KOH)對比過氧化 氫(H2〇2)莫耳比例是大約64-10:1之溶液以及一大約80-95 t之溫度,來令清除含鈕沉積物是呈一較諸侵蝕鈦組件表 21 200522186 面20速率更快大約20倍之速率,俾以容許清洗表面20是在 實質不過度侵蝕之下進行。 於完成清洗組件表面20之後,可處理該清洗溶液來回 收含金屬材料,例如:含组材料(此材料至少是一種組金屬 5 或氧化组。自清洗溶液回收含组材料可減少因棄置组所造 成之環境污染,且亦可降低適當棄置钽所需之成本。回收 之含姐材料可以重新使用於基材加工,例如:回收之组材 料可供用以製造一供用於氣相沉積加工之含钽靶材。除了 回收钽之外,可處理該被使用過之清洗溶液,俾以容許重 10 新使用該清洗溶液。例如:可處理該清洗溶液來回收一種 可重新使用之氫氟酸(HF)/硝酸(HN〇3)溶液。 第3a圖係顯示一清洗組件及回收含钽材料之方法實例 流程圖。此方法的第1步驟是令組件表面20浸泡入一清洗溶 液來進行清洗,該清洗溶液係令含钽及其他金屬之殘基形 15 成一種可溶解入該溶液之含钽及其他金屬化合物。於清洗 組件表面20之後,將一沉澱試劑添加入該清洗溶液,俾以 令含金屬化合物自該溶液沉澱析出且形成固體混合物。該 固體混合物係包含:含钽化合物(例如:氧化鉅),且亦可包 含:含其他金屬化合物(例如:含紹、鈦、及鐵之化合物)。 20 於一如第3a圖箭頭所指示之實例中,該清洗溶液可以於固 體混合物自該溶液沉澱析出後進行回收然後被重新使用來 清洗接續之組件。於一沉澱固體混合物之方法中,該清洗 溶液是藉由添加一沉殿試劑來予以中和,該沉澱試劑係包 含一種可以令該溶液酸鹼值由大約1調升至大約7之酸或 200522186 鹼。例如··對一包含氫氟酸(HF)及硝酸(HN〇3)之溶液而言, 可添加一種驗來中和該溶液。對一包含氫氧化鉀(KOH)及 過氧化氫(H2〇2)之溶液而言,可添加一種酸來中和該溶 液。一種適合用以中和之酸可包含至少一種石肖酸(HN〇3)、 5 硫酸(h2so4)、及磷酸(h3po4)。一種適合用以中和之酸可包 含至少一種氫氧化鈉(Na〇H)、氫氧化鉀(KOH)、及碳酸鈣 (CaC〇3)。其後令該固體混合物與清洗溶液分離,可使用(例 如)過濾該溶液來分離出該固體混合物。 為了令含其他金屬之化合物與含钽化合物進行分離, 10 可將一種具有金屬選擇性之酸添加入該固體混合物,該酸 可以在實質不溶解含钽化合物之下溶解含金屬化合物。一 適合之具有金屬選擇性之酸係包含(例如):氫氣酸(HC1)。 令該固體含钽金屬化合物與該具有被溶解之含金屬化合物 之酸溶液進行分離,可使用(例如):過濾該含钽固體、或者 15 藉由傾倒該酸溶液來分離出該含钽固體。其後可使用(例如) 加熱來令該含钽化合物轉變為氧化鈕。 第3b圖係顯示尚有另一種清洗組件及回收含钽材料之 方法實例流程圖。清洗組件表面20是令該表面20浸泡入一 水性清洗溶液來溶解該表面20之含钽化合物。於清洗該表 20 面之後,自該清洗溶液移除含钽化合物是使用一種以液體 萃取液體之萃取方法。此種萃取方法係包含:以一種實質 不可溶混該水性溶液之有機溶液來攙合該水性清洗溶液。 該有機溶液是一種可高度溶解含钽化合物之溶液,且該有 機溶液具有自該水性溶液萃取出該含钽化合物之能力。一 23 200522186 適合供用於萃取含钽化合物之有機溶液係包含(例如):至少 一種曱基異丁基酮、二乙酮、環己酮、二異丁基酮、及單 丁基碟酸酯。於該含组化合物被萃取入有機溶液之後,令 邊有機溶液與水性溶液進行分離,例如:藉由容許溶液分 5層形成有機層及水層,其後移取一層來令二者分離。 將一沉澱試劑添加入該清洗溶液,俾以令含金屬化合 物自該溶液沉澱析出且形成固體混合物。該固體混合物係 匕έ έ叙化合物(例如:氧化|旦),且亦可包含:含其他金 屬化合物(例如:含鋁、鈦、及鐵之化合物)。於一如第% 10圖刖頭所指不之實例中,該清洗溶液可以於固體混合物自 該溶液沉殿析出之後,進行回收且被重新使用來清洗接續 之組件。於一沉澱固體混合物之方法中,該清洗溶液是藉 由添加一沉澱試劑來予以中和,該沉澱試劑係包含一種可 以7 °亥/奋液酸鹼值由大約1調升至大約7之酸或鹼。例如: 15對一包含氫氟酸(HF)及石肖酸⑽〇3)之溶液而言,可添加一Materials, Inc., and the entire contents of which are incorporated herein as reference materials. The component 22 may also include a coating component having a textured surface, the coating being, for example, a plasma spray coating or a double arc spray coating as described in the following patent application: US Patent Application Number: 10 / 304,535, authorized to: Wang and other 20 people's date of application: November 25, 2002, jointly transferred to Applied Materials, Inc., and hereby incorporated the entire contents of this case as reference 0 The "magma coating The layer TM ”textured metal surface 20 is formed by generating a beam of electromagnetic energy and directing it to the surface 110 of the component 22. The electromagnetic energy 20 200522186 is preferably an electron beam, but may also include protons, neutrons, x-rays, and the like. Typically, the electron beam is focused on a surface 22 area for a period of time during which the electron beam interacts with the surface 20. The electron beam is believed to be formed by rapidly heating the area of the surface 22. This rapid heating will cause some surface materials to protrude outwards, thereby forming depressions 23 where the materials protrude outwards, and forming protrusions 25 in the areas where the materials are deposited again after they protrude. After the desired feature is formed in this area, the electron beam scans the different component surface 22 areas, thereby forming features in the new area. The finished surface 22 will have a honeycomb 10 structure composed of a depression 23 and a protrusion 25 formed on the surface 22. Features formed in this way are typically macroscopically sized, and the diameter of the depressions 23 ranges from about 0.1 to 3.5 centimeters (mm), such as about 0.8 to 1.0 cm (mm) in diameter. Textured surface 20 formed with "Magma CoatingTM" will have an overall surface roughness average of approximately 2500-4000 micro inches (63.5-101.6 microns). The average roughness value of the surface 20 is defined as the average distance from the center line of the feature 15 to the textured surface 20. Using the cleaning method of the present invention to perform a textured surface removal of the non-eroded surface 20, surprisingly good results can be obtained. For example: For a textured metal surface 20 made of titanium, the above-mentioned removal method can be used when the invasion of the metal surface 20 is less than 1 mg / cm2 * hr (mg / cm2 * hr) Below 20, removal of group-containing residues from the surface 20 is performed. In contrast, the conventional method of group removal will cause the titanium surface intrusion from a component 22 to exceed 5 mg / cm2. Another example is the use of a solution with a molar ratio of potassium hydroxide (KOH) to hydrogen peroxide (H2O2) of about 64-10: 1 and a temperature of about 80-95 t to remove button-containing deposits. The material is at a rate approximately 20 times faster than the rate of erosion of the titanium components. This allows the surface 20 to be cleaned without substantial excessive erosion. After cleaning the component surface 20, the cleaning solution can be processed to recover metal-containing materials, such as group-containing materials (this material is at least one group of metal 5 or oxidation group. Recycling of group-containing materials from the cleaning solution can reduce Environmental pollution caused by it, and the cost required for proper disposal of tantalum can also be reduced. The recycled material can be reused for substrate processing, for example, the recycled material can be used to make a tantalum-containing material for vapor deposition processing. Target. In addition to recovering tantalum, the used cleaning solution can be treated to allow the new cleaning solution to weigh 10. For example, the cleaning solution can be processed to recover a reusable hydrofluoric acid (HF) / Nitric acid (HNO3) solution. Figure 3a shows a flowchart of an example of a method for cleaning a component and recovering a tantalum-containing material. The first step of this method is to soak the surface 20 of the component into a cleaning solution for cleaning. The cleaning The solution is to form 15 residues containing tantalum and other metals into a tantalum and other metal compounds that can be dissolved in the solution. After cleaning the component surface 20, a precipitate is deposited. A reagent is added to the cleaning solution, so that the metal-containing compound is precipitated from the solution and forms a solid mixture. The solid mixture includes: a tantalum-containing compound (for example, oxidized giant), and may also include: other metal compounds (for example, : Compounds containing Shao, titanium, and iron). 20 In the example indicated by the arrow in Figure 3a, the cleaning solution can be recovered after the solid mixture has precipitated out of the solution and then reused to clean subsequent components. In a method for precipitating a solid mixture, the cleaning solution is neutralized by adding a sinking reagent. The precipitation reagent contains an acid or acid that can increase the pH of the solution from about 1 to about 7. 200522186 Base. For example ... For a solution containing hydrofluoric acid (HF) and nitric acid (HNO3), a solution can be added to neutralize the solution. For a solution containing potassium hydroxide (KOH) and hydrogen peroxide For a solution of (H2O2), an acid may be added to neutralize the solution. An acid suitable for neutralization may include at least one lithocholic acid (HN03), 5 sulfuric acid (h2so4), and phosphoric acid ( h3p o4). An acid suitable for neutralization may include at least one of sodium hydroxide (NaOH), potassium hydroxide (KOH), and calcium carbonate (CaCO3). The solid mixture is then separated from the cleaning solution. The solid mixture can be separated, for example, by filtering the solution. In order to separate compounds containing other metals from compounds containing tantalum, 10 a metal-selective acid can be added to the solid mixture. Dissolve the metal-containing compound below the substantially insoluble tantalum-containing compound. A suitable metal-selective acid includes (for example) hydrogen acid (HC1). Let the solid tantalum-containing metal compound and the dissolved metal-containing compound The acid solution can be separated by, for example, filtering the tantalum-containing solid, or separating the tantalum-containing solid by pouring the acid solution. The tantalum-containing compound can then be converted into an oxide button using, for example, heating. Figure 3b is a flow chart showing an example of another method for cleaning components and recovering tantalum-containing materials. To clean the surface 20 of the component, the surface 20 is immersed in an aqueous cleaning solution to dissolve the tantalum-containing compound on the surface 20. After cleaning the surface of the surface, the tantalum-containing compound is removed from the cleaning solution using a liquid extraction method. The extraction method includes: combining the aqueous cleaning solution with an organic solution that is substantially immiscible with the aqueous solution. The organic solution is a highly soluble tantalum-containing compound, and the organic solution has the ability to extract the tantalum-containing compound from the aqueous solution. -23 200522186 Organic solutions suitable for use in the extraction of tantalum-containing compounds include, for example: at least one methyl isobutyl ketone, diethyl ketone, cyclohexanone, diisobutyl ketone, and monobutyl discoate. After the group-containing compound is extracted into the organic solution, the organic solution and the aqueous solution are separated, for example, by allowing the solution to be separated into five layers to form an organic layer and an aqueous layer, and then removing one layer to separate the two. A precipitating agent is added to the cleaning solution, and the metal-containing compound is precipitated from the solution to form a solid mixture. The solid mixture is a dangling compound (for example: oxidation | denier), and may also include: other metal compounds (for example, compounds containing aluminum, titanium, and iron). In the example indicated by the steamed bread in Fig. 10, the cleaning solution can be recovered and reused to clean subsequent components after the solid mixture has precipitated from the solution sink. In a method for precipitating a solid mixture, the cleaning solution is neutralized by adding a precipitating reagent, the precipitating reagent contains an acid that can be adjusted from about 1 to about 7 at a pH of 7 ° F / hydrangea. Or alkali. For example: 15 For a solution containing hydrofluoric acid (HF) and lithocholic acid (03), add one

淮之水性溶液可予保留或重新供用為一種清洗 •該於萃取期間留存於水性溶液内之包含氫氟 24 200522186 酸(HF)/硝酸(HN〇3)水性溶液可以於 重新使用來移除金屬表面2G之含组殘基。…先處理中被 以焦水解來進行分解;:::=化合物可 5 10 ::r 一個可令該等化合物與氧反應形 之,皿度’例如··至少大約12(rc,例如:大約12吖 於焦水解分解處理㈣,該有機溶液及 。 ::會揮發而與氧化—分離。可選擇使;=: A來令有機溶液與氧化鈕化合物分離。亦可 — :=合物來形成—如:於,中心 第4圖係顯示-具有適合進行清洗移除含金屬沉積物 ^例如:含钽沉積物24)組件之加工室實例。腔室1〇6可以 疋夕腔至平台(沒有顯示)的_部分,多腔室平台係具有一也 15以—機械手臂機構來令基材104於腔室106之間進行轉移之 j互連接腔室群組。於所顯示之實例中,力口卫室106係^含 一個噴濺沉積腔室,亦稱為一物理氣相沉積或PVD腔室, 此腔至可以於一基材104上噴濺沉積材料(例如:一種或數 種在-氮化组、鈇、氮化鈦、銅、鐫、氮化鐫、及鋁)。腔 20至106係包含:一包圍加工區域之密閉壁118,此密閉壁係 包合:側壁164、底壁166、及一頂壁168。一支撐環130可 予以裝設於側壁164與頂壁168之間,俾以支撐頂壁168。其 他的腔室壁面可以包含一個或數個遮蔽密閉壁丨丨8受到喷 錢之擋板120。 25 200522186 腔室106係包含:一用以於一喷濺沉積腔室1〇6内支撐 基材之基材支撐座114。基材支撐座114可以使用電力飄 浮,或者使用以一供電為172(例如··一射頻供電器)來產生 偏壓之電極170。基材支撐座114亦可包含一可移動式感測 5光圈13:3,此感測光圈可用以保護沒有置放基材104之支撐 座114頂面134。於運作時,基材1〇4是經由腔室1〇6的一個 側壁164基材載入口(沒有顯示)來進入腔室1〇6,其後被置放 於支撐座114頂面。於移轉基材進出腔室1〇6時,支撐座1 μ 可以令支撐升降氣囊進行升高或降低,該置放於支撐座ιΐ4 1〇頂面之基材則可使用一升降手指裝置(沒有顯示)來進行升 南或降低。 支撐座114亦可包含一個或數個環,例如:一套環126 及一沉積環128,二者可藉由包覆至少一部分支撐座U4頂 面134來避免蝕刻支撐座114。於一實例中,沉積環ι28可藉 15由包圍至少部分之基材1〇4來保護該支撐座114不受基材包 覆之部分。套環126係包圍及包覆至少一部分的沉積環 128,藉此減少微粒沉積於沉積環128及底面之支撐座丨μ。 一加工氣體(例如:喷濺氣體)是經由一氣體輸送系統 112來予以導入腔室1〇6,加工氣體系統係包含一加工氣體 20供應器,此供應器可包含—個或數個氣體供應源m,供應 源係個別經由一具有一氣體流動控制閥178(例如:一流量 控制恭)之官路176來供應該流通且達到一設定流速之氣 月豆。官路176可將氣體飼入一攙合歧管(沒有顯示),數種氣 體可於歧官内攙合來形成一所欲之加工氣體組成物。此擾 26 200522186 合歧管會將一氣體飼入一氣體輸送器180,此氣體輸送器具 有一個或數個裝設於腔室106之氣體出口 182。加工氣體可 以包含一種不具有反應性之氣體(例如:氬氣或氙氣),此等 氣體能夠強力撞擊靶材且藉此導致靶材材料進行喷濺。加 5工氣體亦可以包含一種具有反應性之氣體(例如:一種或數 種§氧及含鼠之氣體)’此寻氣體能夠藉由與喷藏材料進行 反應來形成一個覆蓋基材104之層體。耗用後之加工氣體及 副產物是自腔室106經由一排放裝置122來進行排放,此排 放裝置122係包含一個或數個排放口 184,排放口 184是藉由 10 將接收之耗用後加工氣體通入一具有節流閥ι88之排放管 路186,來控制腔室106的氣體壓力。排放管路186會連通一 部或數部排放幫浦190。典型地,腔室106之喷濺氣體壓力 是設定在低於大氣壓力之等級。 喷藏腔室106亦包含一個面朝一基材104表面105之喷 15 濺靶材124,此靶材係包含該欲喷濺基材104之材料。靶材 124係連接一供電器192且以一環狀絕緣環132來形成與腔 室106絕緣。喷濺腔室1〇6亦具有一擋板120,擋板12〇可保 護腔室106之密閉壁118受到材料喷濺。擋板120可藉由包含 一具有頂部擔板區段及底部擔板區段之壁狀圓柱’來遮蔽 20 腔室106之頂部及底部區域。於第4圖所顯示之實例中’擋 板120具有一連接支撐環130之頂部區段120a以及一連接套 環126之底部區段120b。亦可裝設一包含一夾钳環之夾钳擔 板,俾以同時夾鉗頂部及底部擋板區段120a,b。亦可使用其 他可選擇之擋板設計(例如:内緣及外緣插板)。於一實例 27 200522186 中’-個或數個供電器l9 以喷藏。供電器吹會❾ 氣體及產生羊巴材 壓。藉由施加電壓會於腔室1〇 =_職加一偏 發喷濺氣體形成一道, 。產生电場,此電場會激 124,藉此令噴機#+:1吟 匕電水會強力撞擊及轟擊靶材 和U戈材枓離開靶材 支撐座114具有電極170, ’、㈣達基材104頂面。 激發及加速切子化㈣自/㈣極供電器172亦可藉由 ,1 ^ 蚪自靶材124離開且朝向基材104喷 藏來體激發器116運作。再者,可以於腔室⑽ 10 15 20 内部使用供電器192來進行供電之氣體激發線圈 135,此^可增進激發氣體特性(例如:改善激發氣體密 度)。氣體激發線圈13 5可# ra .., T使用—個連接—擋板120或其他腔 室1〇6壁面之線圈支撐座137來予以支撐。 腔室106是以一部控制器194來進行調控,此控制器係 包含和令式碼’此㈣令是設定腔室1()6組件之運作,俾 以達成於腔室106内進行基材1〇4加工。例如·_控制器194可 以包含·一基材疋位指令[此指令是設定一個或數個基材支 撐座Π0及基材移轉裝置之運作,俾以達成將一基材置放於 腔室106内]、一氣體流動控制指令[此指令是設定流動控制 閥178之運作,俾以設定流入腔室1〇6之喷濺氣體]、一氣體 壓力控制指令[此指令是設定排氣節流閥188之運作,俾以 維持腔室106的内部壓力]、一氣體激發控制指令[此指令是 設定氣體激發器116之運作,俾以設定氣體激發之電力等 級]、一溫度控制指令[此指令是設定腔室106的溫度控制]、 28 200522186 以及一加工監測指令[此指令是設定腔室106内進行加工監 測]0 雖然本發明顯示並描述實施具體例,然而那些熟習此 項技藝人士可參照本發明來設計其他具體例,本發明範疇 5 係涵概此等具體例。例如:可以清洗本案所述之外的其他 腔室組件。可使用除了本案所述以外的清洗及回收步驟。 再者,參照本案實施具體例所顯示之相對或者方向術語是 可以交互變換的。因此,本案檢附申請專利範圍不受限於 該等用以闡釋本案所描述之較佳具體例、材料、或空間安 10 排。 L圖式簡單說明3 第1圖是一表面具有含金屬沉積物之組件具體例的側 視不意圖, 第2圖是一個電化學蝕刻裝置具體例的側視示意圖; 15 第3a圖是一種用以回收含钽化合物之方法具體例的流 程圖; 第3b圖是另一種用以回收含钽化合物之方法具體例的 流程圖; 第4圖是一個具有一個或數個能夠於一清除加工中清 20 除含金屬沉積物之組件之加工室具體例的部分側視圖; 第5圖是一個以不同之包含氫氟酸(HF)及硝酸(HN03) 之清洗溶液來比較增長清除銅表面清洗時間所導致之銅損 失重量百分比之比較圖; 第6a圖是一個使用一種只包含氫氟酸(HF)之清洗溶液 29 200522186 以及另使用一種具有氫氟酸(HF)及硝酸(HN〇3)呈特定比例 之改良清洗溶液來進行增長清除銅表面清洗時間對比所導 致之銅損失重量百分比之作圖; 第6b圖是一個使用第6a圖清洗溶液來進行增長清除鈕 5 表面清洗時間對比所導致之鈕損失重量百分比之作圖。 【圖式之主要元件代表符號表】 20···組件表面 130…支撐環 22···腔室組件 132···絕緣環 23 · · ·凹陷 133…感測光圈 24···含组沉積物 134…基材支撐座表面 25…凸起 135…線圈 104···基材 137…線圈支撐座 105···基材表面 141···夾鉗擋板 106···加工室 148···管路 112…氣體輸送系統 164···腔室側壁 114···基材支撐座 166···底壁 116···氣體激發器 168···頂壁 118···腔室密閉壁 170···電極 120···擋板 172、192···供電器 120a/120b···擋板頂部/底部區段 174···氣體供應源 122···氣體排放器 178···氣體流動控制閥 124···靶材 176…管路 126…套環 180···氣體輸送器 128···沉積環 182…氣體出口Huai's aqueous solution can be retained or re-used for cleaning. The aqueous solution containing hydrofluoride that remains in the aqueous solution during extraction 24 200522186 Acid (HF) / nitric acid (HNO3) aqueous solution can be reused to remove metal. Group 2G containing group residues. … In the first treatment, it is decomposed by coke hydrolysis; ::: = compounds can be 5 10 :: r. One can make these compounds react with oxygen, the degree is' for example at least about 12 (rc, for example: about 12Ac is hydrolyzed and decomposed by coke hydrolysis. The organic solution and: :: will volatilize and separate from oxidation. You can choose to use; =: A to separate the organic solution from the oxide button compound. You can also-: = compound to form -For example: Yu, center Figure 4 shows an example of a processing room with components suitable for cleaning and removal of metal-containing deposits. For example: tantalum-containing deposits 24). The chamber 106 can be from the chamber to the platform (not shown). The multi-chamber platform has a 15-mechanical arm mechanism to allow the substrate 104 to be transferred between the chambers 106. Access chamber group. In the example shown, the mouthguard chamber 106 includes a sputtering deposition chamber, also known as a physical vapor deposition or PVD chamber, which is capable of spraying deposition material on a substrate 104 ( For example: one or more of the group-nitride group, hafnium, titanium nitride, copper, hafnium, hafnium nitride, and aluminum). The cavities 20 to 106 include a closed wall 118 surrounding the processing area. The closed wall includes: a side wall 164, a bottom wall 166, and a top wall 168. A support ring 130 may be installed between the side wall 164 and the top wall 168 to support the top wall 168. The other chamber wall surface may include one or more closed walls which shield the closed wall 120 from being sprayed with money. 25 200522186 The chamber 106 includes a substrate support 114 for supporting a substrate in a sputtering deposition chamber 106. The substrate support 114 may use electric power to float, or use a power source 172 (e.g., a radio frequency power supply) to generate a biased electrode 170. The substrate support base 114 may also include a movable sensing 5 aperture 13: 3. This sensing aperture can be used to protect the top surface 134 of the support base 114 without the substrate 104 placed thereon. In operation, the substrate 104 enters the chamber 10 through a substrate 164 substrate loading port (not shown) of the chamber 106, and is then placed on the top surface of the support base 114. When transferring the substrate into and out of the chamber 10, the support seat 1 μ can raise or lower the support lifting airbag, and the substrate placed on the top surface of the support seat 4 10 can use a lifting finger device ( (Not shown) to raise or lower. The support base 114 may also include one or several rings, for example, a set of rings 126 and a deposition ring 128, both of which can avoid etching the support base 114 by covering at least a portion of the top surface 134 of the support base U4. In one example, the deposition ring 28 may protect the support 114 from the substrate-covered portion by surrounding at least a portion of the substrate 104. The collar 126 surrounds and covers at least a part of the deposition ring 128, thereby reducing the deposition of particles on the deposition ring 128 and the support seat on the bottom surface. A process gas (eg, a splash gas) is introduced into the chamber 106 via a gas delivery system 112. The process gas system includes a process gas 20 supplier, which may include one or more gas supplies. The source m and the supply source individually supply the gas moon beans in circulation and reaching a set flow rate through an official path 176 having a gas flow control valve 178 (eg, a flow control valve). Guanlu 176 can feed gas into a coupling manifold (not shown), and several gases can be combined in the manifold to form a desired processing gas composition. This disturbance 26 200522186 The manifold will feed a gas into a gas conveyor 180 which has one or more gas outlets 182 installed in the chamber 106. The process gas may include a non-reactive gas (such as argon or xenon), which can strongly impact the target and thereby cause the target material to splash. The processing gas can also include a reactive gas (for example, one or more § oxygen and gas containing rats). This gas can be formed by reacting with the spray material to form a layer covering the substrate 104. body. The exhausted processing gas and by-products are discharged from the chamber 106 through a discharge device 122. The discharge device 122 includes one or more discharge ports 184. The discharge port 184 is received after consumption by 10 The process gas flows into a discharge line 186 with a throttle valve 88 to control the gas pressure in the chamber 106. The discharge line 186 communicates with one or more discharge pumps 190. Typically, the spray gas pressure of the chamber 106 is set to a level below atmospheric pressure. The spray chamber 106 also includes a spray target 124 that faces the surface 105 of a substrate 104, and the target includes the material to be sprayed on the substrate 104. The target 124 is connected to a power supply 192 and is insulated from the chamber 106 by a ring-shaped insulating ring 132. The spray chamber 106 also has a baffle 120, which can protect the closed wall 118 of the chamber 106 from material splashing. The baffle 120 can shield the top and bottom regions of the cavity 106 by including a wall-shaped cylinder ' having a top stretcher section and a bottom stretcher section. In the example shown in Fig. 4, the 'stop plate 120 has a top section 120a connecting the support ring 130 and a bottom section 120b connecting the collar 126. A clamp stretcher including a clamp ring can also be installed so as to clamp the top and bottom baffle sections 120a, b at the same time. Other optional baffle designs can also be used (eg inner and outer edge inserts). In one example 27 200522186, one or more power supplies 19 are sprayed. The power supply will blow gas and produce sheep bark pressure. By applying a voltage, a bias spray gas will be formed in the chamber 10 = _ +, and. An electric field is generated, which will excite 124, so that the jet machine # +: 1 dagger electric water will strongly impact and bombard the target and U Ge material 枓 leave the target support 114 with an electrode 170,材 104Top surface. Excitation and acceleration of the chirped ytterbium / electrode power supply 172 can also be performed by leaving the target 124 away from the target 124 and spraying it to the substrate 104. Furthermore, a gas excitation coil 135 using a power supply 192 in the chamber ⑽ 10 15 20 for power supply can be used to improve the characteristics of the excitation gas (for example, to improve the density of the excitation gas). The gas excitation coil 135 can be supported by a connection-baffle 120 or a coil support 137 on the wall surface of other chamber 106. The chamber 106 is controlled by a controller 194. The controller includes a reciprocal code 'This command sets the operation of the components of the chamber 1 () 6 to achieve the substrate in the chamber 106. 104 processing. For example, the controller 194 may include a substrate positioning instruction [This instruction sets the operation of one or more substrate support bases Π0 and the substrate transfer device, so as to achieve placement of a substrate in the chamber. Within 106], a gas flow control command [this command is to set the operation of the flow control valve 178 to set the spray gas flowing into the chamber 106], a gas pressure control command [this command is to set the exhaust throttle The operation of the valve 188, to maintain the internal pressure of the chamber 106], a gas excitation control command [this command sets the operation of the gas exciter 116, to set the power level of the gas excitation], a temperature control command [this command It is the temperature control of the setting chamber 106], 28 200522186, and a processing monitoring instruction [this command is to set the processing monitoring in the chamber 106] 0 Although the present invention shows and describes specific examples, those skilled in the art can refer to The present invention is designed to design other specific examples, and category 5 of the present invention covers these specific examples. For example, other chamber components than those described in this case can be cleaned. Cleaning and recovery steps other than those described in this case may be used. Furthermore, the relative or directional terms shown with reference to the specific examples in this case can be changed interactively. Therefore, the scope of the patent application attached to this case is not limited to these specific examples, materials, or space arrangements used to explain the case. Brief description of L diagram 3 FIG. 1 is a side view of a specific example of a component having a metal-containing deposit on its surface, and FIG. 2 is a schematic side view of a specific example of an electrochemical etching device; FIG. Figure 3b is a flowchart of a specific example of a method for recovering a tantalum-containing compound; Figure 3b is a flowchart of another specific example of a method for recovering a tantalum-containing compound; Figure 4 is a flowchart having one or several 20 Partial side view of a specific example of a processing room except for components containing metal deposits; Figure 5 is a comparison of the cleaning time of copper surface cleaning with different cleaning solutions containing hydrofluoric acid (HF) and nitric acid (HN03) Comparison chart of weight loss caused by copper; Figure 6a is a diagram using a cleaning solution containing only hydrofluoric acid (HF) 29 200522186 and another using hydrofluoric acid (HF) and nitric acid (HN〇3) are specific Proportion of the improved cleaning solution to increase the removal of copper surface cleaning time compared to the percentage of copper loss caused by weight; Figure 6b is a growth cleaning button using the cleaning solution of Figure 6a 5 Table Comparative button cleaning time loss caused by the weight percentages plotted. [Representative symbol table of the main elements of the drawing] 20 ····· component surface 130 ··· support ring 22 ····· chamber component 132 ······ insulation ring 23 ····· depression 133 ··· sensor diaphragm 24 ··· group deposition Object 134 ... substrate support base surface 25 ... protrusion 135 ... coil 104 ... substrate 137 ... coil support base 105 ... substrate surface 141 ... clamp clamp 106 ... processing room 148 ... · Pipe line 112… Gas delivery system 164 ·· Side wall of the chamber 114 ·· Substrate support 166 ·· Bottom wall 116 ·· Gas exciter 168 ·· Top wall 118 ·· Closed chamber wall 170 ... Electrode 120 ... Baffle 172, 192 ... Power supply 120a / 120b ... Baffle top / bottom section 174 ... Gas supply source 122 ... Gas vent 178 ... Gas flow control valve 124 ... target 176 ... line 126 ... collar 180 ... gas conveyor 128 ... deposition ring 182 ... gas outlet

30 200522186 184···氣體排放口 186···排放管路 188···節流閥 190···排放幫浦 194···控制器30 200522186 184 ·· Gas exhaust port 186 ·· Drain line 188 ·· Throttle valve 190 ·· Drain pump 194 ·· Control

Claims (1)

200522186 拾、申請專利範圍: 1.種自加工室組件清除含紐沉積物之方法,該方法包 含: 將。亥組件表面浸泡入_包含氫氟酸(明對比石肖酸 (聰〇3)重量比例是大約响觀清洗溶液,藉此,在 實質不侵健表面之下,自該表面移除該含组沉積物。 2·如申請專利範圍第㈣之方法,其中該加4組件表面 係包含至少一種鈦、不銹鋼、铭、及组。 3.如申4專利範圍第1項之方法,其巾該溶祕包含低於 大約10wtQ/〇之氫氟酸(抑)。 4·如申晴專利範圍第丨項之方法,其包含浸泡—具有紋理 表面粗縫度平均值大約63.5_1〇16微米之加工室組件表 面。 5. 如申請專利範圍第〗項 15 20 万法仏,其包含浸泡一具有紋 理表面包含凹陷直徑夬 , 、力Ο.1·3·5釐米(mm)之加工室組 件表面。 6. 二種自加工室組件清除含麵沉積物之方法,該方 巴含氣氧化鉀(KOH)對比 過虱化虱(H2〇2)莫耳比例 六每所 j疋大約6··ΐ-ι〇·_ι之溶液,藉此, 在貝貝不侵蝕該表面之下, 物。 自。亥表面移除該含組沉積 如申請專利範圍第6項 係包含至少-種鈦、不二广該力…組件表面 个鱗鋼、鋁、及鉅。 32 200522186 8,如申4專利範圍第6項之方法,其中該溶液係包含:大 約5-12M之氫氧化卸(_)以及大約〇5_2说之過氧化 氫(h2〇2)。 9.如申請專利範圍第6項之方法,其中該溶液之溫度被維 持於至少大約70°C。 種自加工至組件清除含鈕沉積物之方法,該方法包 含: 將该組件表面浸泡入一包含氫氟酸(HF)對比一氧 2劑莫耳比例是至少大約6:1之清洗溶液,II此,在實 貝、不知钱該表面之下,自該表面移除該含叙沉積物。 申口月專利範圍第1〇項之方法,其中該氫氣酸(Ηρ)對比 氧化劑莫耳比例是大約9:1-20:1。 12·如申請專利範圍㈣項之方法,其中該氧化劑係包含至 ^種硝酸(HN〇3)、過氧化氫(H2〇2)、亞硫酸(H2S03)、 臭氧(〇3)。 女申明專利範圍第10項之方法,其中該清洗溶液係包 s •大約3-20M之氫氟酸(HF)以及大約〇•卜3以之該氧化 劑。 種自加工室組件清除含鈕及含其他金屬沉積物以及 回收含钽材料之方法,該方法包含·· (a) 將該組件表面浸泡入酸性或鹼性清洗溶液,藉 此分別溶解該沉積於該表面上之含鈕及含其他金屬沉 和物’以形成含鈕及含其他金屬化合物;以及 (b) 藉以下列步驟處理該溶液,以回收該含鈕化合 33 200522186 物: (i) 將一沉澱試劑添加入該溶液,藉此形成一由 含钽及含其他金屬化合物所構成之固體混合物; (ii) 自該溶液過濾該固體混合物; 5 (iii)將一具有金屬選擇性之酸溶液添加入該固 體混合物,該具有金屬選擇性之酸溶液係包含一種具有 金屬選擇性之酸,該酸能夠在實質不溶解該含钽化合物 之下溶解該含金屬化合物;以及 (iv)自該被溶解之含金屬化合物將該含鈕化合 10 物進行分離。 34200522186 Scope of patent application: 1. A method for removing button-containing sediments from processing chamber components. The method includes: will. The surface of the component is immersed in _ containing hydrofluoric acid (bright contrast to stone acid (Cong 0 3) weight ratio is about the ring cleaning solution, thereby removing the containing group from the surface under the substantially non-aggressive surface Deposits. 2. The method according to the scope of the patent application, wherein the surface of the plus 4 component contains at least one kind of titanium, stainless steel, stainless steel, and the group. 3. The method according to the scope of the first patent application, the towel should be dissolved. Contains less than about 10wtQ / 0 of hydrofluoric acid. (4) The method as described in Shen Qing's patent scope, which includes immersion-a processing room with an average rough surface roughness of about 63.5-1010 microns Component surface. 5. If the scope of the patent application is 15.200,000, it includes immersion in the surface of a processing chamber component with a textured surface including a recessed diameter 夬, and a force of 0.1 · 3.5 · cm. Two methods for removing surface-containing deposits from the processing chamber components. The square bar gas-containing potassium oxide (KOH) is compared to the molted lice (H2O2) mole ratio of about 6 ·· ΐ-ι per j 疋. The solution of 〇 · _ι, thereby, under the surface of the babe does not erode. Remove the group-containing deposits on the surface of the surface. For example, the scope of the patent application No. 6 series contains at least one kind of titanium, Fujitsu the force ... The surface of the component is scale steel, aluminum, and giant. 32 200522186 8 The method of item 6, wherein the solution comprises: about 5-12M of hydroxide unloading (_) and about 0_2_2 of hydrogen peroxide (h2O2). 9. The method according to item 6 of the patent application scope, wherein The temperature of the solution is maintained at at least about 70 ° C. A method for removing button-containing deposits from processing to a component, the method comprising: immersing the surface of the component in a solution containing hydrofluoric acid (HF) vs. oxygen 2 agent The ear ratio is a cleaning solution of at least about 6: 1, II. Then, the sediment is removed from the surface under the surface of the solid shell, unknowingly. The method of claim 10 of the scope of patent application, wherein The molar ratio of the hydrogen acid (Ηρ) to the oxidant is about 9: 1 to 20: 1. 12. The method according to item (1) of the patent application, wherein the oxidant contains up to 30 kinds of nitric acid (HNO3) and hydrogen peroxide. (H2〇2), sulfurous acid (H2S03), ozone (〇3). Female claim patent scope 10 The method, wherein the cleaning solution comprises: • about 3-20M of hydrofluoric acid (HF) and about 0. # 3 of the oxidant. A method for removing buttons and other metal deposits from the processing chamber assembly and recycling A method of tantalum material, comprising: (a) immersing the surface of the component in an acidic or alkaline cleaning solution, thereby dissolving the button-containing and other metal deposits deposited on the surface to form Buttons and other metal-containing compounds; and (b) the solution is treated by the following steps to recover the button-containing compound 33 200522186: (i) a precipitation reagent is added to the solution, thereby forming a compound containing tantalum and other A solid mixture of metal compounds; (ii) filtering the solid mixture from the solution; 5 (iii) adding a metal-selective acid solution to the solid mixture, the metal-selective acid solution comprising a A metal-selective acid capable of dissolving the metal-containing compound without substantially dissolving the tantalum-containing compound; and (iv) removing the metal-containing compound from the dissolved metal-containing compound Compound 10 was separated from the button. 34
TW93114915A 2003-12-19 2004-05-26 Cleaning tantalum-containing deposits from process chamber components TWI304612B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/742,604 US6902627B2 (en) 2002-11-25 2003-12-19 Cleaning chamber surfaces to recover metal-containing compounds
US10/846,894 US20050028838A1 (en) 2002-11-25 2004-05-13 Cleaning tantalum-containing deposits from process chamber components

Publications (2)

Publication Number Publication Date
TW200522186A true TW200522186A (en) 2005-07-01
TWI304612B TWI304612B (en) 2008-12-21

Family

ID=34798987

Family Applications (1)

Application Number Title Priority Date Filing Date
TW93114915A TWI304612B (en) 2003-12-19 2004-05-26 Cleaning tantalum-containing deposits from process chamber components

Country Status (5)

Country Link
US (1) US20050028838A1 (en)
JP (1) JP2007528938A (en)
KR (4) KR20070026369A (en)
TW (1) TWI304612B (en)
WO (1) WO2005068681A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112111767A (en) * 2019-06-22 2020-12-22 应用材料公司 Method for reducing or eliminating deposits after electrochemical plating in a plating processor

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) * 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US7981262B2 (en) * 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090000641A1 (en) * 2007-06-28 2009-01-01 Applied Materials, Inc. Methods and apparatus for cleaning deposition chamber parts using selective spray etch
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US9481937B2 (en) 2009-04-30 2016-11-01 Asm America, Inc. Selective etching of reactor surfaces
NL2014497B1 (en) * 2015-03-20 2017-01-19 Asm Int Nv Method for cleaning deposition apparatus.
KR101722371B1 (en) * 2016-08-05 2017-04-05 피에스테크놀러지(주) Method for cleaning a process chamber by using an electropolishing
KR101959760B1 (en) * 2017-02-23 2019-03-19 피에스테크놀러지(주) Method for cleaning a process chamber by using an electropolishing
CN109371405A (en) * 2018-12-14 2019-02-22 惠州市四维化工有限公司 A kind of secondary chemical synthesizing method of lossless magnesium and magnesium alloy

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3117833A (en) * 1958-09-25 1964-01-14 Fansteel Metallurgical Corp Process of purifying and separating columbium and tantalum values from each other
DK449074A (en) 1974-08-22 1976-02-23 Atomenergikommissionen PROCEDURE FOR EXTRACTING TANTALS AND / OR NIOB IN FREE OR BASED FORM FROM TANTAL AND NIOB CONTAINING SOLUTIONS
US4100252A (en) * 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
JPS58153782A (en) * 1982-03-08 1983-09-12 Hitachi Denshi Ltd Regeneration of boat made of tantalum
FR2562097A1 (en) * 1984-03-28 1985-10-04 Andritz Ag Maschf Process for pickling alloy steels, copper, alloys of non-ferrous heavy metals, titanium, zirconium, tantalum and the like by means of nitric acid baths
JPS61146717A (en) * 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd Purification of tantalum
JPS63149396A (en) * 1986-12-12 1988-06-22 Kobe Steel Ltd Pre-treatment of anodic oxidation of valve metal
JPH0353084A (en) * 1989-07-18 1991-03-07 Citizen Watch Co Ltd Etching solution of tantalum
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
JP3581890B2 (en) * 1994-04-26 2004-10-27 東京エレクトロン株式会社 Heat treatment method and heat treatment apparatus
US5660640A (en) * 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
USH2087H1 (en) * 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) * 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
SE512978C2 (en) * 1998-10-26 2000-06-12 G S G As Processing of niobium and tantalum-containing materials
JP2000265276A (en) * 1999-01-12 2000-09-26 Central Glass Co Ltd Cleaning gas
KR20010014842A (en) * 1999-04-30 2001-02-26 조셉 제이. 스위니 Apparatus and method for fabricating semiconductor devices
JP4709358B2 (en) * 2000-08-30 2011-06-22 株式会社東芝 Sputtering target and sputtering apparatus, thin film, and electronic component using the same
US20020119245A1 (en) * 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
JP2002292346A (en) * 2001-03-29 2002-10-08 Sharp Corp Method and apparatus for recovering deposited film
JP2002363662A (en) * 2001-06-01 2002-12-18 Nikko Materials Co Ltd Method for recovery of high-purity tantalum, high-purity tantalum sputtering target, and thin film deposited by using this sputtering target
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US6953120B2 (en) * 2002-02-08 2005-10-11 Cabot Corporation Method of recovering metal and/or oxide thereof in a slurry and tailings obtained from said method
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112111767A (en) * 2019-06-22 2020-12-22 应用材料公司 Method for reducing or eliminating deposits after electrochemical plating in a plating processor
CN112111767B (en) * 2019-06-22 2023-06-27 应用材料公司 Method for reducing or eliminating a plurality of deposits after electrochemical plating in a plating processor
US11697888B2 (en) 2019-06-22 2023-07-11 Applied Materials, Inc. Methods of reducing or eliminating deposits after electrochemical plating in an electroplating processor

Also Published As

Publication number Publication date
KR20070026369A (en) 2007-03-08
WO2005068681A3 (en) 2005-09-15
TWI304612B (en) 2008-12-21
JP2007528938A (en) 2007-10-18
KR101270192B1 (en) 2013-05-30
KR101223154B1 (en) 2013-01-17
KR20120016176A (en) 2012-02-22
KR20120014234A (en) 2012-02-16
KR20120016177A (en) 2012-02-22
WO2005068681A2 (en) 2005-07-28
US20050028838A1 (en) 2005-02-10
KR101164570B1 (en) 2012-07-10

Similar Documents

Publication Publication Date Title
TW200522186A (en) Cleaning tantalum-containing deposits from process chamber components
US6902627B2 (en) Cleaning chamber surfaces to recover metal-containing compounds
TWI225277B (en) Semiconductor device
US6153530A (en) Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US8389418B2 (en) Solution for the selective removal of metal from aluminum substrates
US6143192A (en) Ruthenium and ruthenium dioxide removal method and material
JP5148889B2 (en) Cleaning method and electronic device manufacturing method
TWM310107U (en) Corrosion resistant aluminum component for substrate processing chamber
TW200901314A (en) Plasma treatment apparatus and manufacturing method of deposition-inhibitory member
CN101399196A (en) Coarsening processing method for backing side of wafer
CN100552551C (en) A kind of strip preparation method of graphics ferroelectric lead zirconate titanate film
JPH05302177A (en) Industrial material coated with fluoride passive film and its production
Mayumi et al. Post‐Treatments for Reactive Ion Etching of Al‐Si‐Cu Alloys
KR101173692B1 (en) Solution for the selective removal of metal from aluminum substrates
JP2006210857A (en) Cleaning liquid composition for removal of impurity, and impurity removal method using the composition
CN110337710A (en) For the patterned sacrificial layer of platinum
JP2007321186A (en) Etching method
JPH01243528A (en) Surface treatment
US20080064219A1 (en) Method of removing photoresist
US11377745B2 (en) Stripping of coatings Al-containing coatings
SU290063A1 (en) METHOD OF ELECTROCHILTIC ELECTRIC
KR20210063972A (en) Method for eliminating aluminum oxide film on surface of aluminum member
JPH07263414A (en) Method of manufacturing semiconductor device
Lee et al. The Impact of Dielectric Films and Post-Metal Etch Wet Treatment on Charge-Induced Corrosion of Tungsten Vias