CN101342534B - 用选择性喷涂刻蚀来清洁沉积室零件的方法和设备 - Google Patents

用选择性喷涂刻蚀来清洁沉积室零件的方法和设备 Download PDF

Info

Publication number
CN101342534B
CN101342534B CN2008101260369A CN200810126036A CN101342534B CN 101342534 B CN101342534 B CN 101342534B CN 2008101260369 A CN2008101260369 A CN 2008101260369A CN 200810126036 A CN200810126036 A CN 200810126036A CN 101342534 B CN101342534 B CN 101342534B
Authority
CN
China
Prior art keywords
described part
acid
spraying
coating
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008101260369A
Other languages
English (en)
Other versions
CN101342534A (zh
Inventor
包立源
萨曼莎·S·H·潭
蒋安北
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Quantum Global Technologies LLC
Original Assignee
Quantum Global Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Quantum Global Technologies LLC filed Critical Quantum Global Technologies LLC
Priority to CN201310315038.3A priority Critical patent/CN103406300B/zh
Publication of CN101342534A publication Critical patent/CN101342534A/zh
Application granted granted Critical
Publication of CN101342534B publication Critical patent/CN101342534B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G3/00Apparatus for cleaning or pickling metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/44Compositions for etching metallic material from a metallic material substrate of different composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals

Abstract

本发明提供用选择性喷涂刻蚀来清洁沉积室零件的方法和设备。在一个方面,提供了对电子器件制造处理室零件进行清洁的方法,包括:a)用酸喷涂零件;b)用DI水喷涂该零件;和c)用氢氧化钾处理该零件。还提供了其他方面。

Description

用选择性喷涂刻蚀来清洁沉积室零件的方法和设备
技术领域
本发明大体上涉及电子器件制造,更具体地说,针对处理室元件的清洁。
背景技术
在半导体、平板和太阳能电池板的制造处理中,各种膜沉积在衬底表面上。在对衬底进行处理的过程中,用来沉积这些膜的设备(和/或室)也可能在所沉积的膜中不期望地受到涂敷。通常可以利用原位清洁处理来保持清洁和恒定的室环境。但是,有时候不能进行原位清洁,或者原位清洁不再有效。此时,被膜覆盖的设备元件可能需要拆下清洁。
发明内容
在一个方面,提供了对电子器件制造处理室零件进行清洁的方法,包括:a)用酸喷涂零件;b)用DI水喷涂该零件;和c)用氢氧化钾处理该零件。
在另一个方面,提供了用于电子器件制造处理室的元件的喷涂清洁设备,包括:a)支撑部件;b)喷涂喷嘴,其附装到支撑部件;c)清洁化学品供应件;以及d)导管,其适于从清洁化学品供应件向喷涂喷嘴输送清洁化学品;其中,附装到支撑部件的喷涂喷嘴适于旋转和线性移动,并适于将清洁化学品的喷射从多个方向定向到电子器件制造处理室元件的内部。
在再一个方面,提供了用于电子器件制造处理室的元件的喷涂清洁设备,包括:a)清洁箱子;b)连接到臂的多个喷涂喷嘴;c)安装装置,其适于将处理室元件保持在所述箱子内;以及d)清洁化学品供应件,其连接到所述喷涂喷嘴;其中,所述臂适于使所述喷涂喷嘴移动,以从多个方向将清洁化学品的喷涂定向到所述室元件。
根据本发明的这些以及其他的方面提供了若干其他方面。根据下面的详细说明。权利要求以及附图,可以更加了解本发明的其他特征和方面。
附图说明
图1的(A)-(E)是根据本发明的实施例之一,对涂敷有处理膜的元件进行清洁或再生的方法的示意图。
图2是本发明的一种清洁方法的流程图。
图3是一种清洁化学品喷涂过程中控制室元件温度的方法的流程图。
图4是本发明的一种室元件喷涂设备的示意图。
图5是本发明的另一种室元件喷涂设备的示意图。
图6是本发明的另一种室元件喷涂设备的示意图。
图7是本发明的另一种室元件喷涂设备的示意图。
具体实施方式
为了恢复(recondition)处理室元件,可以将元件浸入酸浴中以除去不期望的涂层或膜。但是,浸入酸浴可能造成不希望的剧烈反应。另外,反应可能产生大量的热,因而可能需要冷却器来使处理冷却到使设备不过热的工作温度。
另外,设备上不期望的涂敷可能不是均匀的。例如,在物理气相沉积(PVD)室的情况下,可能在室的顶部处有源材料或靶材、在底部有衬底,屏蔽围绕着室的内部从靶材延伸到衬底。与靶材或源接近的屏蔽顶部与离衬底近的屏蔽底部相比可能积累更厚、更密集的涂层。在使用浸入清洁处理时,膜积累物的较厚区域常常可能没有受到完全清洁,而较薄的区域可能受到过度刻蚀。过度刻蚀可能破坏屏蔽并可能在此后造成不期望的涂层脱落到正在处理的衬底上。颗粒脱落到衬底上可能在衬底中造成使衬底不可用的缺陷。因此,污染控制是半导体器件、平板显示器和太阳能电池板制造中的一个重要问题。
尽管上述示例涉及PVD室的屏蔽,但是可以理解,来自其他沉积处理(包括化学气相沉积(CVD)和电镀)的其他室元件(例如遮蔽环、接触环、夹持环、衬底支撑件、喷头、面板等)也可能积累不期望的涂层,这些涂层需要周期性的异地(ex-situ)清洁。同样,刻蚀处理(例如反应离子刻蚀、溅射刻蚀和电刻蚀)也可能造成室元件上积累刻蚀副产品并需要异地清洁。
本发明提供了用于对来自处理设备表面的涂层进行清洁的方法和设备。申请人已经发现,通过将清洁化学品喷涂到零件上而不是通过将零件浸入清洁化学品中,可以使处理设备零件获得更强的清洁。可以在对零件本身进行更少的刻蚀以及对零件的任何正常涂层进行更少的刻蚀的情况下获得更强的清洁。在将清洁化学品喷涂到零件上之后,零件可以用例如DI水进行压力冲洗,然后可以用氢氧化钾进行处理。最后,可以用DI水对零件进行重新清洗。
图1的(A)-(E)是根据本发明的实施例之一,对涂敷有处理膜的元件进行清洁(或再生(reclaim))的方法的示意图。
图1的(A)的开始点可以是室元件102。室元件可以由铝、不锈钢或陶瓷制造。为了这种示例目的,室元件可以由铝制造。
通常,新的室元件102可能有意地被涂层104覆盖。在本申请中这种涂层可以称为“有意的涂层”。该涂层例如可以是保护层,或者是对元件赋予适当的电特性以使之与等离子体环境相容的层。立国农完,该涂层可以使室内的衬底污染尽可能小。一种有意的涂层例如是铝、铜、镍、钼或锌的双丝电弧喷涂(“TWAS”)涂层。也可以使用其他有意地涂层。如其名称所示,TWAS涂层处理可以包括两条导线以形成电弧。成弧的金属导线所造成的熔融金属可以由压缩空气雾化并被喷涂在元件上以形成涂层。所得的经过有意涂敷的元件可以具有使PVD材料到元件的粘附性提高的粗糙度。这可以有助于防止无意涂敷的PVD材料脱落并污染衬底表面。其他元件(例如此前列出的那些)也可以由TWAS处理来涂敷。同样,也可以通过TWAS或其他有意的涂层来增强其他处理材料(例如此前提到过的CVD膜以及刻蚀副产品等)的粘附性。
下一个层可以是积累的处理材料层或无意的涂层106。无意的涂层106可以取决于设备和/或设备上进行的处理而改变。PVD设备中通常积累的处理膜可能包括铜(Cu)、钌(Ru)、铝(Al)、钛(Ti)和/或氮化钛(TiN)、钛钨(TiW)和钽(Ta)和/或氮化钽(TaN)。刻蚀设备上无意的涂层通常是聚合的(polymeric)。CVD室上无意的涂层可以是二氧化硅、氮化硅、氮氧化硅、硅碳(silicon carbon)、掺杂氧化硅、氧化硅碳(oxygenated silicon carbon,常称为SiCOH)。
在图1的(B)中,进行第一清洁或再生步骤。这里,带有有意的涂层104或无意的涂层106或膜的室元件102被暴露于清洁化学品喷涂。与有意的涂层104和/或室元件材料自身相比,这种清洁化学品喷涂可以优先除去或刻蚀去无意的涂层106。与PVD屏蔽样例连续,无意的涂层106可以是TaN/Ta,有意的层104可以是铝的室元件102上的TWAS沉积的铝层。在元件上喷涂清洁化学品与将元件浸入清洁化学品中相比可以具有若干优点。首先,通过喷涂,可以更加容易地控制化学品的方向。因此具有较厚的无意涂层的区域(例如PVD屏蔽的顶部)可以被暴露于化学品达比较薄的无意涂层的区域更长的时间。因此,可以完全的或者基本上清洁无意涂层的较厚区域而不过度刻蚀无意涂层的较薄区域。例如。可以只用30分钟来除去较薄的无意涂层,而可以用约2小时来除去较厚的或密集的无意涂层。另外,喷涂化学品可以使用比浸入化学品浴所用更少的化学品。例如,喷涂处理可以使用几加仑的化学品(这些化学品可以通过自动喷涂系统来收集和回收),而浴通常使用20加仑的化学品。喷涂处理还产生比浸浴处理更少的热量,因此喷涂处理可以更加安全,喷涂系统中可以不需要使用冷却器。
在本发明的某些实施例中,室元件的尚未积累无意涂层的那些部分可以被遮蔽,使清洁化学品喷涂不会刻蚀室元件或有意的涂层。
喷涂化学品可以根据无意的膜106、有意的膜104以及衬底102的特征而不同。对于带有Al TWAS层和TaN/Ta无意涂层的PVD Al屏蔽,有效选择的化学品可以是15∶85比率的氟化氢(HF)和硝酸(HNO3)或相同比率的氯化氢(HCl)和硝酸(HNO3)。硝酸可以是可能更具效费比的商用级产品。该比例可以略微改变,对于从Al涂层或元件选择性地剥离TaN/Ta,相同组成物的20∶80的比率也有效。
沉积室清洁领域的技术人员能够根据无意涂层106和有意涂层104以及形成衬底102的材料的性质,来选择室清洁喷涂化学品。
在完成步骤1B的处理之后,大部分(如果不是全部的)无意涂层106可以从较厚的积累区域(例如与源/靶材靠近的屏蔽顶部)除去,同时较薄的涂敷区域可以没有受到过度刻蚀。
在步骤1C,用DI水对元件进行强力清洗(power wash)以除去清洁化学品并可能除去(如果有的话)剩余的无意涂层106(例如TaN/Ta)。压力清洗步骤的压力可以在从500到2000p.s.i.的大范围内变化。但是可以想到,对于大多数应用,1000p.s.i.就足够了。
在图1的(D)中,用稀释的氢氧化钾(KOH)混合物除去有意的涂层104(例如TWAS Al)。稀释程度可以从约6%KOH至25%KOH改变。KOH可以被喷涂到元件上,也可以将元件浸入KOH浴中。同样,如果在室元件102上剩余了任何无意涂层106,也可以想到KOH步骤会将削弱片段化的无意涂层106。这种削弱可以有助于除去任何剩余的片段化无意涂层106。对于PVD屏蔽大小的元件,可以想到花费60至90分钟用稀释KOH处理来除去有意涂层104。在KOH处理之后,可以用约40p.s.i.的DI水冲洗元件。
在图1的(E)中,经过清洁的元件(例如PVD屏蔽)准备喷砂并涂覆新的有意层106(在PVD屏蔽的示例中是TWAS Al),使该元件可以重新安装在处理室中。喷砂处理和沉积有意层的详细情况可以在Popiolkokwski等人于2003年7月17日提交的美国专利6,812,471中以及也由Popiolkokwski等人在2002年3月13日提交的美国专利6.933,508中找到,这些共同拥有的专利为了任何目的而通过引用全部结合于此。
图1的(A)-(E)图示了本发明的一种清洁方法及其在元件表面上的效果。图2是本发明的清洁方法200一种实施例的流程图。方法200开始于步骤202。在步骤204,提供要清洁的元件,其中,该元件具有无意的涂层。无意的涂层对应于图1的(A)-(E)的层106。清洁处理也可以看作再生处理或剥离处理。该元件也可以在元件上但在无意涂层的下方具有涂敷于其上的有意涂层。有意涂层对应于参考图1的(A)-(E)讨论的层104。
在图2的步骤206,用清洁化学品喷涂具有无意涂层的元件。清洁化学品可以是选择的化学品,使得用该清洁化学品对无意涂层106进行的刻蚀可以比对有意涂层104进行的刻蚀更快。或者,如果没有有意涂层104,选择的化学品对无意涂层进行的刻蚀可以比对元件的刻蚀更快。所用的化学品可以与结合图1的(B)所述的相同。在步骤206之后,大多数(如果不是全部的)积累层将被从元件除去。
在步骤208,用高压DI水喷涂元件。压力冲洗除去了来自步骤206的化学品,并可以使步骤206之后剩余的任何无意涂层材料松弛并将其除去。
在步骤210,元件被暴露于稀释KOH混合物。混合物浓度如结合图1的(D)所述那样。混合物可以被喷涂在元件上,或者,元件也可以被浸入KOH浴中。如果使用喷涂方法,则KOH处理可以在同一设备中进行。或者,也可以将元件移到单独的设备中接受KOH处理(不管是喷涂还是浴)。KOH处理可以从元件剥离有意涂层。如果元件不带有有意涂层(图1的层104),则步骤4可以省略。
在步骤212,在用KOH处理之后,可以用DI水清洗元件。
最后,在步骤214,新的经过清洁的元件已做好恢复准备。恢复处理可以包括对元件进行喷砂以及涂覆新的有意涂层。在Popiolkokwski等人于2003年7月17日提交的美国专利6,812,471中以及也由Popiolkokwski等人在2002年3月13日提交的美国专利6.933,508中更详细地讨论了恢复处理,这些共同拥有的专利为了任何目的而通过引用全部结合于此。
图3是本发明的一种方法300的流程图,该方法用于在由清洁化学品对元件进行喷涂的时候控制室元件的温度。这种喷涂步骤的一种示例可以是图2的步骤206。该方法开始于步骤302。在步骤304,用清洁化学品以初始流率对室元件进行喷涂。初始流率可以基于操作者的判断,也可以基于事先用类似清洁化学品对类似元件进行的实验喷涂。
在步骤306,测量室元件的温度。或者,也可以在清洁化学品从清洁箱排出时测量其温度。在步骤308,将测得的温度与目标温度或温度范围进行比较。
目标温度或范围可以选择为使无意涂层106的刻蚀速率增大并使有意涂层104和/或室元件材料102的刻蚀速率减小。另一种说法是,目标温度范围可以选择为获得对无意涂层106的足够高刻蚀速率和对有意涂层104和/或室元件材料102的足够低的刻蚀速率。这样,对于任何给定的清洁化学品,可以画出两条曲线:描绘了无意涂层刻蚀速率对温度的关系曲线,以及描绘了有意涂层和/或室元件的刻蚀速率对温度的关系曲线。然后可以选择对无意涂层106、有意涂层104和室元件材料102赋予了可接受的刻蚀速率的温度范围。
如果在步骤308发现测得的温度大于目标温度范围,则本方法可以转到步骤310,在该步骤减小清洁化学品的流率并继续对室元件进行喷涂。然后,本方法回到步骤306,在该步骤重新测量温度。
在步骤308,如果发现温度不大于目标温度范围,则本方法可以转到步骤312,在该步骤再次将测得的温度与目标温度范围进行比较。如果在步骤312发现测得的温度低于目标温度范围,则本方法可以转到步骤314。在步骤314,增大清洁化学品的流率并继续对室元件进行喷涂。从步骤314,本方法回到步骤306,在该步骤重新测量温度。
如果在步骤312,发现测得的温度在目标温度范围内,则本方法可以转到步骤316,在该步骤判定用清洁化学品喷涂室元件的步骤是否完成。对用清洁化学品喷涂室元件的步骤是否完成进行的判定可以基于喷涂的延续时间、对室元件的观测、也可以基于对是否已将全部(或大部分)无意涂层106从室元件清洁掉进行判定的任何其他适当方法。
如果在步骤316判定为清洁化学品的喷涂步骤尚未完成,则本方法可以转到步骤318,在该步骤继续用清洁化学品喷涂元件。然后,本方法可以转到步骤306,本方法一直持续到在步骤316作出清洁化学品喷涂步骤完成的判定时为止。此时,本方法可以转到步骤320,在该步骤结束本方法。
图3的方法300可以用来完成图2的方法200中的步骤206。
图4是本发明的室元件喷涂设备400的示意图。喷涂设备400可以用于执行本申请所述的喷涂步骤。如图4所示,喷涂设备400可以是箱子402等,其将要清洁的元件404完全封闭。或者,该设备也可以看起来是上方带有通风橱的开口箱子。在室元件404是较大的元件时,箱子402可以用来清洁一个室元件404。或者,如果多个元件各自小到足以配装到箱子402内并小到足以允许由清洁化学品对多个元件进行有效喷涂而不使多个元件彼此干涉,则箱子402也可以用来同时清洁多个元件。喷涂设备400还可以包括元件保持装置406。元件保持装置406可以是吊钩、挂钩、架子或适于对室元件进行保持的任何装置。元件保持装置406可以由用来清洁室元件的清洁化学品所不能渗透或腐蚀的任何材料制成。
喷涂设备400可以包括喷涂喷嘴408,喷涂喷嘴408在图4中被图示为位置沿着箱子402的左右侧。应当明白,喷涂喷嘴408可以位于箱子402的任何内表面上,或者,喷涂喷嘴408也可以被悬挂在箱子402内。喷涂喷嘴408可以连接到清洁化学品供应件410,清洁化学品供应件410可以接着连接到清洁化学品回收导管412。尽管所示导管412只连接到一个清洁化学品供应件410,但是应当明白,也可以与所示的另一清洁化学品供应件410进行类似的连接。可以使用一个或多个清洁化学品供应件410。
导管412可以连接到泵414,泵414可以接着连接到导管416和排出管418。喷涂设备400还可以包括传感器418,传感器418可以适于测量室元件404的温度和/或流入排出管418中的任何清洁化学品的温度。传感器420可以通过信号线422连接到控制器424。控制器424可以通过信号线426连接到喷嘴408。尽管所示信号线426连接到一个喷嘴408,但是可以理解,信号线426可以连接到任何喷嘴408或者全部喷嘴408。
在工作时,室元件404可以由元件保持装置406悬挂或保持在适当位置。在安装了室元件404之后,操作者或控制器就可以开始从喷嘴408向室元件404喷涂清洁化学品。元件保持装置406可以连接到旋转装置(未示出),该旋转装置使室元件404旋转,以使室元件404的所有侧都受到清洁化学品喷涂。清洁化学品可以落在箱子402的底部并由排出管418收集,清洁化学品可以由泵414经导管416从排出管418泵送。清洁化学品可以经过导管412直接泵送到清洁化学品源410,也可以在回到清洁化学品源410之前先经过过滤和/或恢复。
在喷涂操作过程中,可以由传感器420测量室元件404的温度,并通过信号线422将温度报告给控制器424。如果室元件404的温度超过了目标温度或目标范围,则控制器可以命令从喷嘴408减小清洁化学品的流率。类似地,如果室元件404的温度降到目标温度或目标范围以下,则控制器可以命令从喷嘴408增大清洁化学品的流率。如前所述,可以直接测量室元件404的温度。另外,也可以通过对落在箱子402底部的清洁化学品的温度进行测量来间接地测量室元件404的温度。
如果通过简单地增大清洁化学品的流率不能使室元件404的温度升高到可接受的温度范围,则可以在清洁化学品喷涂到清洁室404上之前使其受到预热。
喷嘴408可以作为一组受到控制,也可以受到单独控制,以在室元件404的具有较大量无意涂层106的部分设置更多的清洁化学品,并在室元件404的具有较少量无意涂层106的部分设置较少的清洁化学品。
图5是本发明的另一种喷涂设备500的示意图。喷涂设备500可以与图4的喷涂设备400大体上类似,并有如下差别。在喷涂设备500中,室元件404不是由元件保持装置406悬挂和/或升高。相反,室元件404可以被置于转台502上。转台502可以用来使室元件404旋转。
在工作中,可以与图4的喷涂设备400类似地操作喷涂设备500,并有如下差别。在图5的喷涂设备500中,室元件404可以被置于转台502上而不是由元件保持装置406悬挂。转台502可以旋转,进而使室元件404旋转,使得可能从喷嘴408喷涂的清洁化学品可以到达室元件404的所有外部部分。
图6是本发明的再一种喷涂设备600的示意图。喷涂设备600可以与图5的喷涂设备500大体上类似,并有如下例外。喷涂设备600可以具有内部喷涂组件602。内部喷涂组件602可以包括清洁化学品源604,清洁化学品源604通过导管/支撑部件606连接到喷嘴608。喷嘴608可以类似于喷嘴408。喷嘴608可以以可移动和/或可转动的方式安装在导管/支撑部件606上。另外,或者作为替代,导管/支撑部件606可以适于使喷嘴608垂直地和/或旋转地运动。尽管只示出了一个喷嘴608,但是应当明白,可以使用多个喷嘴608并可将它们附装到导管/支撑部件606。
控制器424可以由控制线610连接到清洁化学品源604。
尽管图6所示喷涂设备600可以基于图5的喷涂设备500,但是应当明白,图4的喷涂设备400也可以进行类似更改。这样,喷涂设备400可以更改为包括内部喷涂组件602,该组件适于在元件保持装置406使室元件404旋转的同时,将清洁溶液喷涂在室元件404的内部。
在工作中,喷涂设备600可以类似于图4的喷涂设备400和图5的喷涂设备500那样工作,并具有这里所述的附加功能。图6的喷涂设备600可以通过喷嘴608将清洁化学品喷涂在室元件404的内部部分。清洁化学品可以从清洁化学品源604经过导管606流到喷嘴608。内部喷涂组件602可以独立于喷嘴408工作,或者,内部喷涂组件602可以与喷嘴408相结合工作。
在喷涂操作过程中,喷嘴608可以旋转,使室元件404的全部内部部分都可以由清洁成分进行喷涂。喷嘴608的旋转可以通过使导管/支撑部件606旋转或通过其他适当方法来实现。另外,可以通过升高或降低导管/支撑部件606或者其他适当方法来使喷嘴608沿垂直方向移动。
图7是本发明的再一种喷涂设备700的示意图。喷涂设备700可以大体上类似于图4的喷涂设备400,并有如下例外。图4的喷涂喷嘴408可以安装在箱子402的内壁上,图7的喷嘴408可以安装在臂702上。臂702可以安装在可围绕箱子402的底部运行的机械手(未示出)或轨道(未示出)上。这样,臂702可以围绕室元件404移动,以使清洁化学品能够从多个方向到达室元件404的所有外部部分。喷涂设备700还可以包括清洁化学品源704,清洁化学品源704可以通过导管706连接到臂702。导管706可以是柔性管,以使臂702能够围绕箱子402的内部移动。
在图7中,所示的臂处于设备的左侧。臂可以从该位置起线性地从设备的一侧扫到另一侧,臂也可以在停留在同一位置的同时自转。此外,臂也可以在沿其轴线之一自转的同时线性地扫过该设备。可动的臂除了位于侧面外,也可以位于设备的顶部或底部。设备中也可以既有静止的喷嘴也有可动的喷嘴。不同喷嘴或不同组喷嘴可以独立地受到控制。独立的控制允许喷嘴或喷嘴组对较厚的积累区域进行较长时间的处理或者以较快的化学品流率进行处理,以更加有效地对元件进行清洁。有效的清洁既包括在不发生过度刻蚀的情况下除去不希望的材料,也包括以最短的可能时间进行清洁。另外,该喷涂设备还可以从设备底部收集已喷射的化学品,并由一个或多个泵414对其进行泵送以便回收。
尽管在这些附图中,所示箱子402的底部是平坦的,但它也可以是倾斜的或者以其他方式构造来帮助收集化学品。被回收的化学品可以立刻在处理中重新使用,可以经过过滤(化学方式和/或机械方式)并立刻重新使用,也可以被泵送到回收站以便在重新使用之前进行处理。
在工作中,图7的喷涂设备700可以与图4的喷涂设备400类似地工作,并有以下区别。在图4中,室元件404可以被旋转,使喷嘴408可以到达室元件404外部的所有部分。在图7中,室元件404可以由元件保持装置406静止地保持,而可安装在臂702上的喷嘴408可以横向地、垂直地和/或旋转地移动以到达室元件404的所有外部部分。
在上述所有实施例中,喷嘴408可以受到独立控制,从而可以将较多或较少的清洁化学品喷涂到室元件404中带有较多或较少无意涂层106的部分。
前述说明只是公开了本发明的示例性实施方式。本领域技术人员容易想到本发明范围内对上文公开的设备和方法进行的改动。
本申请要求2007年6月28日提交的题为“TANTALUM/TANTALUMNITRIDE STRIPPING OF CHAMBER PARTS USING SELETIVEETCHING”的美国临时专利申请No.60/946,983(文档号No.12260/L)的优先权,该申请的全部内容通过引用而为了各种目的结合于此。
2003年7月17日提交的题为“METHOD OF SURFACETEXTURING”的共有美国专利6,812,471的全部内容通过引用而为了各种目的结合于此。
2002年3月13日提交的题为“METHOD OF SURFACETEXTURING”的共有美国专利6,933,508的全部内容通过引用而为了各种目的结合于此。

Claims (16)

1.一种对电子器件制造处理室的零件进行清洁的方法,包括:
提供多个喷涂喷嘴,其连接到臂,所述臂适于使所述多个喷涂喷嘴移动以将清洁化学品的喷射从多个方向定向到所述零件的所有外部部分,其中,所述清洁化学品是酸;
用所述酸喷涂所述零件;
用去离子水喷涂所述零件;以及
用氢氧化钾处理所述零件。
2.根据权利要求1所述的方法,其中,所述酸包括酸混合物。
3.根据权利要求1所述的方法,其中,从所述零件清洁金属膜。
4.根据权利要求1所述的方法,其中,从所述零件清洁硅化合物。
5.根据权利要求1所述的方法,其中,用酸喷涂所述零件的步骤包括用所述零件作为密闭室。
6.根据权利要求1所述的方法,其中,用酸喷涂所述零件的步骤包括测量所述零件的温度并将测得的温度与期望温度进行比较。
7.根据权利要求6所述的方法,还包括提高酸的流率来提高所述零件的温度。
8.根据权利要求6所述的方法,还包括降低酸的流率来降低所述零件的温度。
9.根据权利要求6所述的方法,其中,所述期望温度被选择以提供零件清洁率对零件刻蚀率的有利比率。
10.根据权利要求1所述的方法,其中,用酸喷涂所述零件的步骤包括将所述零件置于转台上并使所述转台旋转。
11.根据权利要求1所述的方法,其中,用酸喷涂所述零件的步骤包括:与所述零件的涂敷有待清洁材料的部分相比,将所述喷涂优先地定向到所述零件的涂敷有更多待清洁材料的部分。
12.根据权利要求1所述的方法,其中,所述零件包括有意沉积的涂层,并且其中,用酸喷涂所述零件的步骤包括测量所述零件的温度并将测得的温度与期望温度进行比较;并且其中,所述期望温度被选择以提供零件清洁率对有意涂层刻蚀率的有利比率和零件清洁率对零件刻蚀率的有利比率。
13.根据权利要求1所述的方法,其中,在用酸喷涂所述零件之前,所述酸被加热。
14.根据权利要求1所述的方法,其中,在用酸喷涂所述零件之前,部分所述零件被遮蔽。
15.根据权利要求1所述的方法,其中,用去离子水喷涂所述零件的步骤包括以500至2000p.s.i.之间的压力喷涂所述水。
16.根据权利要求15所述的方法,其中,所述水以1000p.s.i.的压力被喷涂。
CN2008101260369A 2007-06-28 2008-06-30 用选择性喷涂刻蚀来清洁沉积室零件的方法和设备 Active CN101342534B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310315038.3A CN103406300B (zh) 2007-06-28 2008-06-30 用选择性喷涂刻蚀来清洁沉积室零件的方法和设备

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94698307P 2007-06-28 2007-06-28
US60/946,983 2007-06-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310315038.3A Division CN103406300B (zh) 2007-06-28 2008-06-30 用选择性喷涂刻蚀来清洁沉积室零件的方法和设备

Publications (2)

Publication Number Publication Date
CN101342534A CN101342534A (zh) 2009-01-14
CN101342534B true CN101342534B (zh) 2013-08-28

Family

ID=39765057

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008101260369A Active CN101342534B (zh) 2007-06-28 2008-06-30 用选择性喷涂刻蚀来清洁沉积室零件的方法和设备
CN201310315038.3A Active CN103406300B (zh) 2007-06-28 2008-06-30 用选择性喷涂刻蚀来清洁沉积室零件的方法和设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310315038.3A Active CN103406300B (zh) 2007-06-28 2008-06-30 用选择性喷涂刻蚀来清洁沉积室零件的方法和设备

Country Status (9)

Country Link
US (2) US20090000641A1 (zh)
EP (2) EP2383049B1 (zh)
JP (2) JP5596909B2 (zh)
KR (1) KR101027612B1 (zh)
CN (2) CN101342534B (zh)
AT (1) ATE518018T1 (zh)
SG (2) SG148975A1 (zh)
TW (1) TWI479559B (zh)
WO (1) WO2009005742A1 (zh)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
TWI479559B (zh) 2007-06-28 2015-04-01 Quantum Global Tech Llc 以選擇性噴灑蝕刻來清潔腔室部件的方法和設備
JP5169669B2 (ja) 2007-11-02 2013-03-27 株式会社デンソー 燃圧検出装置、及び燃圧検出システム
KR101477817B1 (ko) 2008-01-25 2014-12-30 미쓰비시 마테리알 가부시키가이샤 반응로 세정 장치
US8580332B2 (en) * 2009-09-22 2013-11-12 Applied Materials, Inc. Thin-film battery methods for complexity reduction
EP2363136A1 (en) 2010-03-02 2011-09-07 Fresenius Medical Care Deutschland GmbH Microvesicles (MVs) derived from adult stem cells for use in the therapeutic treatment of a tumor disease
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102189874B1 (ko) * 2013-10-07 2020-12-11 세메스 주식회사 기판 처리 장치, 그리고 기판 처리 장치를 이용한 기판 처리 방법
US9903020B2 (en) * 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105296927A (zh) * 2015-10-29 2016-02-03 云南汇恒光电技术有限公司 一种光学真空镀膜机内腔清洁方法
US9999907B2 (en) 2016-04-01 2018-06-19 Applied Materials, Inc. Cleaning process that precipitates yttrium oxy-flouride
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
KR102007950B1 (ko) * 2017-10-30 2019-08-06 주식회사 싸이노스 내성코팅층 제거방법
CN109904054B (zh) * 2017-12-08 2021-08-13 北京北方华创微电子装备有限公司 腔室环境恢复方法及刻蚀方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108517494A (zh) * 2018-05-22 2018-09-11 桑尼光电技术(安徽)有限公司 一种光学真空镀膜机内腔清洁方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020039011A1 (en) * 2018-08-21 2020-02-27 Oerlikon Surface Solutions Ag, Pfäffikon Stripping of coatings al-containing coatings
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109365382B (zh) * 2018-10-25 2024-03-12 广东西江数据科技有限公司 一种服务器清洗设备及其清洗方法
KR102620219B1 (ko) 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
RU2711066C1 (ru) * 2019-03-05 2020-01-15 федеральное государственное автономное образовательное учреждение высшего образования "Южный федеральный университет" (Южный федеральный университет) Способ электрохимического осаждения легированных атомами переходных металлов кремний-углеродных пленок на электропроводящие материалы
US20200354831A1 (en) * 2019-05-07 2020-11-12 Alta Devices, Inc. Methods and systems for cleaning deposition systems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1143036A2 (en) * 1996-05-21 2001-10-10 Applied Materials, Inc. Apparatus and method for controlling the temperature of a wall of a reaction chamber
CN1745455A (zh) * 2002-12-16 2006-03-08 阿斯穆勒美国有限公司 具有可旋转分配臂的流体分配装置和方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3870002B2 (ja) * 2000-04-07 2007-01-17 キヤノン株式会社 露光装置
US6505636B1 (en) * 2000-06-26 2003-01-14 Lam Research Corporation Apparatus for wafer carrier in-process clean and rinse
JP2002292346A (ja) * 2001-03-29 2002-10-08 Sharp Corp 付着膜回収装置および付着膜の回収方法
US6977796B2 (en) * 2002-02-08 2005-12-20 Headway Technologies, Inc. Wiring pattern and method of manufacturing the same and thin film magnetic head and method of manufacturing the same
US6933508B2 (en) * 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries
EP1411149A1 (de) * 2002-10-18 2004-04-21 Siemens Aktiengesellschaft Verfahren zum Entfernen eines Schichtbereichs eines Bauteils
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP4291034B2 (ja) * 2003-04-25 2009-07-08 大日本スクリーン製造株式会社 洗浄装置および基板処理装置
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7077918B2 (en) * 2004-01-29 2006-07-18 Unaxis Balzers Ltd. Stripping apparatus and method for removal of coatings on metal surfaces
US7264679B2 (en) * 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
JP3116197U (ja) * 2004-06-28 2005-12-02 アプライド マテリアルズ インコーポレイテッド プロセス残留物を付着する表面を有する基板処理チャンバー用コンポーネント
US20060046499A1 (en) * 2004-08-20 2006-03-02 Dolechek Kert L Apparatus for use in thinning a semiconductor workpiece
US20060124155A1 (en) * 2004-12-13 2006-06-15 Suuronen David E Technique for reducing backside particles
US7361231B2 (en) * 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
TWI479559B (zh) 2007-06-28 2015-04-01 Quantum Global Tech Llc 以選擇性噴灑蝕刻來清潔腔室部件的方法和設備

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1143036A2 (en) * 1996-05-21 2001-10-10 Applied Materials, Inc. Apparatus and method for controlling the temperature of a wall of a reaction chamber
CN1745455A (zh) * 2002-12-16 2006-03-08 阿斯穆勒美国有限公司 具有可旋转分配臂的流体分配装置和方法

Also Published As

Publication number Publication date
EP2011897A2 (en) 2009-01-07
EP2011897A3 (en) 2009-03-04
US8691023B2 (en) 2014-04-08
US20090000641A1 (en) 2009-01-01
SG148975A1 (en) 2009-01-29
JP2009007674A (ja) 2009-01-15
JP5935174B2 (ja) 2016-06-15
CN101342534A (zh) 2009-01-14
CN103406300B (zh) 2017-11-03
WO2009005742A1 (en) 2009-01-08
SG182970A1 (en) 2012-08-30
JP5596909B2 (ja) 2014-09-24
TWI479559B (zh) 2015-04-01
KR101027612B1 (ko) 2011-04-06
US20130037062A1 (en) 2013-02-14
KR20090004598A (ko) 2009-01-12
EP2383049A1 (en) 2011-11-02
EP2011897B8 (en) 2011-09-28
CN103406300A (zh) 2013-11-27
EP2011897B1 (en) 2011-07-27
EP2383049B1 (en) 2013-08-07
JP2014210980A (ja) 2014-11-13
ATE518018T1 (de) 2011-08-15
TW200908135A (en) 2009-02-16

Similar Documents

Publication Publication Date Title
CN101342534B (zh) 用选择性喷涂刻蚀来清洁沉积室零件的方法和设备
EP1136592A2 (en) Method and apparatus for removal of unwanted electroplating deposits
WO2001027357A1 (en) Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
KR20020077165A (ko) 부착막 회수 장치 및 부착막의 회수 방법
EP1157148A2 (en) Methods for coating metallic articles
US20110000793A1 (en) Coating method for a workpiece
JP2007332435A (ja) 自動金属皮膜形成装置及びウェーハへの金属皮膜の形成方法
US20040055623A1 (en) Multistep single chamber parts processing method
US6360756B1 (en) Wafer rinse tank for metal etching and method for using
EP1508629B1 (en) Method for removing a composite coating from a ceramic substrate
JP4615649B2 (ja) 半導体成膜装置の洗浄方法及び洗浄装置
CN114496710A (zh) 一种半导体设备陶瓷窗氧化钇涂层清洗方法
JP2017528598A (ja) マスク、キャリア、及び堆積ツールの構成要素から堆積材料を取り除くための剥脱プロセス
WO2002029857A1 (en) Method of cleaning electronic device
CN215050821U (zh) 电化学沉积设备组
KR101574645B1 (ko) 연속 세정 장치
US7514371B2 (en) Semiconductor substrate surface protection method
US20010027017A1 (en) Minimizing metal corrosion during post metal solvent clean
JP2003037096A (ja) 半導体製造装置のブラスト処理方法
JPS63137194A (ja) 基板上への金属電着方法と装置
JP2001131783A (ja) 含弗素中性液による洗浄方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB03 Change of inventor or designer information

Inventor after: Bao Liyuan

Inventor after: Tan Samantha S H

Inventor after: Jiang Anbei

Inventor before: Bao Liyuan

Inventor before: Lu Jianwen

Inventor before: Tan Samantha S H

COR Change of bibliographic data

Free format text: CORRECT: INVENTOR; FROM: BAO LIYUAN LU JIANWEN SAMANTHA S. H. TAN TO: BAO LIYUAN SAMANTHA S. H. TANJIANG ANBEI

ASS Succession or assignment of patent right

Owner name: QUANTUM GLOBAL TECHNOLOGIES, LLC

Free format text: FORMER OWNER: APPLIED MATERIALS INC.

Effective date: 20120412

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20120412

Address after: American Pennsylvania

Applicant after: Quantum Global Technologies LLC

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant