JP5171639B2 - クロックおよび電源グリッドスタンダードセルを用いたasicデザイン - Google Patents

クロックおよび電源グリッドスタンダードセルを用いたasicデザイン Download PDF

Info

Publication number
JP5171639B2
JP5171639B2 JP2008547813A JP2008547813A JP5171639B2 JP 5171639 B2 JP5171639 B2 JP 5171639B2 JP 2008547813 A JP2008547813 A JP 2008547813A JP 2008547813 A JP2008547813 A JP 2008547813A JP 5171639 B2 JP5171639 B2 JP 5171639B2
Authority
JP
Japan
Prior art keywords
grid
clock
design
circuit
cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008547813A
Other languages
English (en)
Other versions
JP2009521811A (ja
JP2009521811A5 (ja
Inventor
マイ トニー
ミラー ブルース
コールマン スーザン
パイク シーナ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mosaid Technologies Inc
Original Assignee
Mosaid Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mosaid Technologies Inc filed Critical Mosaid Technologies Inc
Publication of JP2009521811A publication Critical patent/JP2009521811A/ja
Publication of JP2009521811A5 publication Critical patent/JP2009521811A5/ja
Application granted granted Critical
Publication of JP5171639B2 publication Critical patent/JP5171639B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Manipulation Of Pulses (AREA)
  • Logic Circuits (AREA)

Description

特定用途向集積回路(ASIC)は、特定の用途のためにカスタム設計された集積回路であり、システムオンチップ(SoC)とも呼ばれている。ASICを実施する幾つかの例は、セルラーフォン、自動車用コンピュータ、および携帯情報端末(PDA)において見ることができる。上記した例は、制限された機能を有し、それ故、特定のタスクを実行するために使用される。ASICデザインと対照的な例は、マイクロプロセッサである。マイクロプロセッサは、多くの目的に適合するように設計されている。
ASIC設計の第1のフェーズは、典型的に、実装されるべきASICの機能的な要求を決定するデザインエンジニアのチームで始まる。要求された機能が一旦決定されると、デザインチームは、それから、ハードウエア記述言語(HDL)を用いてASICの記述を構成する。この組立工程のフェーズは、通常、レジスタ転送レベル(RTL)と呼ばれる。ハードウエア基準言語は、シミュレーションによって動作を検証するため、回路の動作、設計およびテストを記述するのに使用される。そして、論理組立ツール、例えば、シノプシスによるデザインコンパイラ(商標Design Compiler by Synopsys)は、レジスタ転送レベルを、スタンダードセルと呼ばれる低レベル構成の大きな集合に変換するのに使用することができる。
スタンダードセルは、ASICの基本的なブロックである。スタンダードセルは、典型的に、ライブラリから取り出され、予め特徴付けされたゲートの集合から構成される。ASIC設計で使用されるスタンダードセルは、ASIC設計の意図された機能に対して特有である。スタンダードセルの集まりと電源グリッドは、必要な電気的接続を提供し、ゲートレベルのネットリスト(gate-level netlist)と呼ばれる。ゲートレベルのネットリストは、配置ツール(placement tool)によって処理され、このツールは、個々のスタンダードセルを電源グリッド内の指定された領域に1つずつ配置する。スタンダードセルは、セルデザインの複雑さに依存して、セル内の局所的な配線またはルーティングのため異なる数の金属層を使用する。スタンダードセルの配置は、多様な特有の制約を受けることになる。それ故、高さの要求が典型的にスタンダードセルに設定され、全てのスタンダードセルが要求される高さまたはその何倍の高さを持つようにされる。
ASIC製造の最終的な段階の間に、配線ツール(routing tool)がスタンダードセルと電源グリッド間の電気的な接続を形成する。遅延、クロックスキュー、寄生の抵抗および容量、電力消費の見積もりが行われ、これらがテストの最終ラウンドに使用される。このテストラウンドの間に、デバイスの性能を向上させるようにデザインが変更されても良い。テストが完了したら、デザインは、チップ製造のために最終的にリリースされる。
ASICを設計するときの重要な1つのエリアは、クロックの分配(distribution)である。ASICのクロック分配ネットワークは、クロック挿入遅延およびクロックスキューを用いたレイアウトエリアによって特徴付けされる。クロックスキューは、同期回路に見られる事象であり、クロック信号が回路の種々の部品に異なる時間で到来するときに発生し、言い換えれば、クロックソースからのクロック挿入遅延が各々の部品で異なるときに発生する。クロック信号は、クロック回路によって発生され、クロックバッファと金属ルーティング(配線)のバランスされたネットワーク(網)においてASIC全体に分配される。ASICのクロック分配ネットワークの一般的な2つのアーキテクチャは、クロックトランク(Clock Trunk)とクロックツリー(Clock Tree)であり、各々は、物理的および電気的な特徴を有し、その特徴は、アプリケーションに依存するが、一方のクロックアーキテクチャは、他方のものよりもアプリケーションにおいて使用するのにより効果的であり得る。
クロックトランクアーキテクチャは、デザイン上、大きな中央の導体またはトランクを含み、導体は、一端または両端から駆動され、トランクから垂直に延びる小さな分岐の導体を有し、トランクの一方の側のASIC部品にクロック信号を分配させる。トランククロック分配ネットワークは、クロックソースから駆動される部品まで等しくない金属通路を有しているため、必然的にアンバランスとなり、各部品までの金属通路の異なるRC遅延によりクロック分配に小さな量のクロックスキューを招く。
図1は、クロックトランク構造150の例を表している。クロックトランク構造150は、中央の導体110を含み、導体110は、垂直方向に延在するより小さな分岐導体113を含み、導体の側方にあるASIC部品へクロック信号112を分配する。クロック信号CLK112は、最初にバッファ116を通過し、クロック信号’117となる。信号CLK’117は、バッファ115および111を介して導体110の両端を通過する。バッファ111は、クロックスキューを最小限にするために使用される任意のバッファである。
ツリーアーキテクチャは、バランスされたクロック分配スキームであり、設計によってクロックソースから部品まで等しい通路を有する。ツリーアーキテクチャは、中央の分配ポイント、対称の分岐、バッファおよびサブ分岐を使用し、クロックを部品へ分配する。クロックツリーはまた、ルートとトランクを持つことができ、クロックソースを第1の分岐点へ搬送する。クロックツリーの各分岐の金属導体は、通常、緊密に適合または一致(match)されており、それ故、無視することができるクロックスキューを自身のクロック分配ネットワークに取り入れている。しかしながら、低いキューを示すクロックツリーにとって、ネットワーク内の全てのクロックバッファは、緊密に適合された特性を持たなければならず、これらのバッファに与えられる負荷はバランスされなければならない。クロックツリーのバランスされた対称性は、それらがASICツールにより自動的に生成されることを現実的にする。最悪のケースのスキューマージンに適合する完全なクロックネットワークを迅速に生成するけれども、こうしたASICツールの主な欠点は、カスタムクロックツリーのデザインにおいてクロックスキューを達成できるレベルに最小限に抑えることである。
図1Aは、埋め込まれたクロックツリー107を特徴とする簡略化されたローベース(row-based)のセルレイアウト100を示している。セルレイアウト100は、電源グリッド(網)を形成する2つの電源供給レールVDD101とVSS103を有する。スタンダードセル105は、電圧供給レール101と103の間の電源グリッド内に置かれる。クロック回路109は、行き先、つまりクロックツリー107のノード111、113、115、117へ送られるクロック信号を発生する。クロック回路109から発生するクロック信号は、ノード113まで走行するのに、ノード111、115、117と同じ距離を持つべきである。もし、ノード113に到達するまでにクロック信号にかかった時間が、ノード111、115または117に到達するためにかかった時間より大きいまたは小さいならば、クロックスキューが生じる。各ノードに到達する時間差の変動がより大きいほど、より大きなクロックスキューとなる。
クロックツリーのより詳細な図が図2Aに示されている。H−クロックツリー200は、典型的にASIC設計に用いられる。クロックツリー200は、4つの葉またはノード201、202、203、204を有する。クロックツリー200は、一連の金属配線210とバッファ211を用いて構成される。クロック信号clkは、ツリーを介して送られ、ノード202において信号clk’となり、ノード204において信号clk’’となる。
図2Bのタイミング図は、クロック信号clk、clk’、clk’’を図示している。タイミング図からもわかるように、信号clk’とclk’’の立ち上がりエッジの挿入遅延が非常に相違し、スキューを生じさせている。このような相違は、デザインのタイミングバジェット(timing budget)において解決される必要がある。
複数のデザインセルから回路が形成される。デザインセルは、第1および第2の配向のレールを有するクロックグリッドと、クロックに結合され回路を形成する回路セルとを一緒に形成するグリッドセルを有する。
グリッドセルは、電源グリッドを形成するために使用することもでき、電源グリッドは、各グリッドセルの電源とグラウンドのライン間にデカップリングキャパシタンスを提供することができる。電源グリッドはさらに、クロックグリッドのシールドを提供することができる。各グリッドセルはさらに、同一の金属層において、少なくとも1つの電源レールと少なくとも1つのクロックラインを含むことができる。少なくとも1つの電源レールと少なくとも1つのクロックラインは、第1および第2の配向の1つであることができる。
多数のタイプのグリッドセルであることができる。これらは、各配向に電源とクロックラインを提供し、異なる配向のレールの相互接続を提供する。グリッドセルの1つのタイプは、少なくとも1つの電源レールと少なくとも1つのクロックラインを第1の配向に含むことができる。他のタイプは、少なくとも1つの電源レールと少なくとも1つのクロックラインを第2の配向に含み、かつ少なくとも1つの他の電源レールを第1の配向に含むことができる。
第3のタイプのグリッドセルは、少なくとも1つの電源レールと少なくとも1つのクロックラインを第1および第2の配向の各々に含むことができる。第3のグリッドセルのタイプはまた、異なる配向の電源レールおよび異なる配向のクロックラインを相互接続することができる。
回路を形成する方法は、個々のグリッドセルのクロックグリッドを設計し、その中のクロックグリッドに結合された個々のスタンダードセルの回路を設計することを含む。方法はまた、集積または統合された電力およびクロックグリッドを提供することができる。方法は、ASICツールで個々のグリッドセルを配列することを含むことができ、ここで、グリッドセルは、電源とクロックの要素を含んでおり、さらに、ASICツールで個々のスタンダードセルを配列することを含むことができ、ASICツールは、ソフトウエアツールである。
デザインシステムもまたh形成されることができる。デザインシステムは、少なくとも1つのスタンダードセル、複数のグリッドユニットセル、複数のグリッドユニットセルおよび少なくとも1つのスタンダードセルを配置するソフトウエアと、配線するソフトウエアとを含む。配線するソフトウエアは、複数のグリッドユニットセル間の相互接続、少なくとも1つのスタンダードセルと複数のグリッドユニットセル間の相互接続、および少なくとも1つのスタンダードセルと少なくとも1つの他のスタンダードセル間の相互接続を提供する。
デザインシステムはまた、第1の配向のクロックと電源のラインを提供する第1の配向セル手段と、第2の配向のクロックと電源のラインを提供する第2の配向セル手段と、第1の配向のクロックと電源のラインと第2の配向のクロックと電源のラインを相互接続して提供する相互接続セル手段とを含むことができる。
電源とクロックのグリッドの統合または集積は、ASICツールにより容易に実行されるアプリケーションのクロックスキューを減少させ、かつ、ASICデザインに付加的なデバイスを追加することなく、必要なデカップリングおよびシールドを提供する。集積されたクロックおよび電源グリッドはまた、より少ない金属層で構成することができ、従って、ASICデザインの複雑さがより低減される。
前述したことおよび本発明の他の目的、特徴および効果は、添付する図面に例示するように、本発明の好ましい実施例の以下の詳細な説明から明らかであり、ここで種々の図面では、同様の参照番号は同一の部分を参照する。図面は、必ずしもスケール通りではなく、その代わりに本発明の原理を例示するよう強調する。
図2に示したように、H−クロックツリーの最小限のスキューを達成するため、ノード201−204は、バランスされなければならない。各ノード201−204は、異なる負荷を見るので、ノードをバランスすることは、困難なタスクとなる。
クロックトランクおよびクロックツリーアーキテクチャに対する変更または代替は、クロックグリッドアーキテクチャーである。グリッドアーキテクチャは、グリッド(格子)に沿って規則正しい間隔で配置された複数の適合されたクロックバッファによって駆動される、相互接続された配線の直交するアレイによって特徴つけられる。クロックグリッドは、単一の低スキュークロックネットワークを形成し、これは、負荷をバランスするための必要性を未然に防止する。レイアウトにおいて、グリッドは、ASICデザインの全てのクロックされる回路部品をカバーするように延在されなければならない。ASICクロックグリッドは、通常、カスタム設計であり、これは、デザインの配置と配線のエリア(place-and-rout area)に併合されなければならない。クロックグリッドを自動的に生成する商業的に入手可能なASICツールは、今日において存在しない。カスタムクロックグリッドをセルサイズのユニットにサブ分割しかつこれらのユニットをスタンダードセルライブラリに互換性を持たせることによって、本発明者は、自動的なクロックグリッド発生がASICにとって実現可能であり実用的であると考える。本発明者は、スタンダードセルベースのクロックグリッドセルは有益であると考えており、現在のASICツールセットに欠けている自動的なクロックグリッド生成能力の考えは、スタンダードセルをもつレイアウト内に簡単に配置させることが可能であり、かつ存在しているASICデザインフローにシームレスに適合され、高性能なクロック分配を生じさせる。
図3Aは、導体グリッド301とバッファ211からなるクロックグリッド300を示している。クロックツリー200に示されるように複数のノードを有する代わりに、図3Aのクロックグリッド300は、1つの共通ノード、すなわち、導体グリッド301を有する。それ故、クロック信号clkがクロックグリッド300に送信されると、1つの共通ノードしかないので、クロックグリッド300の異なる部分は、ほぼ同時に信号clk’とclk’’を受け取る。クロックグリッドで受け取られる種々のクロック信号はまた、図3Bのタイミングダイアグラムで見られるように、ほぼ同時に立ち上がり、立ち下がる。それ故、クロックグリッドシステムを用いると、最小のクロックスキューが達成され得る。導体グリッドを形成する金属導体は、低い抵抗であるが有限の抵抗を有することに留意すべきである。クロックグリッドの構成および負荷容量に依存して、小さいRCベースのクロックスキューが導体グリッドの種々の部分間に存在するであろう。
カスタム設計された集積回路に以前に使用されたクロックグリッドシステムを、ASICデザインに実行しようとするとき問題が生じる。配置および配線ツール(placement and routing tools)は、クロックグリッドを含むように設計されておらず、それ故、それらをAICデザインに含ませる能力を有していない。すなわち、配置および配線ツールは、スタンダードセルを配置するように設計され、かつ配置されたスタンダードセル間の相互接続を配線するように設計される。
ここに開示される電源およびクロックデザインは、最小限のクロックスキューを可能にし、また種々のASICデザインツールと互換性を有する。グリッドユニットセルを有する集積または統合された電源およびクロックグリッドは、図4に提示され、示される。集積されたクロックおよび電源グリッド400は、集積された電源およびクロックグリッド400の基礎的要素(ビルディングブロック)として働く複数のグリッドユニットセルから構成される。ASICデザインのスタンダードセルと類似の方法で、グリッドユニットセルは、1つずつ配置することができ、集積された電源およびクロックグリッド400を形成するように一緒にタイル張りされ得る。従って、グリッドユニットセルは、ちょうどスタンダードセルに用いられた配置および配線ツールと同様の方法で、これらのツールによってハンドルされ、配置されることができる。
図4に示されるように、本発明の実施例によれば、集積された電源およびクロックグリッド400は、垂直な部分403、水平な部分404、および垂直と水平な部分の交差部分405から構成される。さらに、グリッド部分403、404および405は、グリッドユニットセルの3つの異なるタイプから構成される。さらに具体的には、垂直な部分403は、複数の垂直グリッドユニットセル407を含み、水平な部分404は、複数の水平グリッドユニットセル409を含み、交差405は、コーナーグリッドユニットセル411を含む。すなわち、垂直グリッドユニットセル407は、グリッド400の垂直な部分403を構成するのに使用され、他方、水平グリッドユニットセル409は、グリッド400の水平な部分404を構成する。コーナーグリッドユニットセル411は、水平な部分404と垂直な部分403の間の交差405を構成するように設計され、それ故、交差405で、各水平および垂直グリッドユニットセル409、407の相互接続結合器(カプラー)として働く。
図4からも理解できるように、グリッド400の水平な部分404は、水平グリッドユニットセル409を水平方向に線形に配置することによって組立てられる。同様に、グリッド400の垂直な部分407は、垂直グリッドユニットセル407を垂直方向に線形に配置することによって組立てられる。コーナーグリッドユニットセル411は、グリッドの垂直な部分403で使用される垂直グリッドユニットセル407を、グリッドの水平な部分404に使用される水平グリッドユニットセル409に相互接続するように、グリッド400の交差405で使用される。
ここで、“水平”および“垂直”の用語は、簡単のために全体の説明に使用されるが、それらが実質的に互いに垂直であれば、あらゆる2つの異なる配向を含むことができる。
垂直グリッドユニットセル407、水平グリッドユニットセル409、およびコーナーグリッドユニットセル411は、各グリッドユニットセルの簡略化された構成図を提供する図6ないし12を参照して、以降にさらに説明される。
図5は、図4の集積された電源およびクロックグリッド400を有するASICを設計するステップを示すフローチャート500である。最初に、ステップ501は、グリッドユニットセルを配置ツールを用い、グリッドユニットセルを所望のグリッドフォーメーションに組織する工程を含む。図4は、グリッドユニットセルがどのように配置されたのかの例を示している。
集積された電源およびクロックグリッドを形成する次のステップは、ステップ502に例示されるように、配置ツールを用いてスタンダードセルを配置することである。ステップ501および02で使用される配置ツールは、一般的なASIC配置ツールを用いることができ、あるいは、グリッドユニットセル407、409および411ならびにスタンダードセルのために特に設計されたものであることができる。スタンダードセルは、組立てられるASICデバイスの論理を提供するものであり、グリッドの開口(オープニング)401に配置される。多数のスタンダードセルは、グリッドの各開口内に配置され得る。
最後に、電気的な相互接続が個々のグリッドユニットセル間、個々のスタンダードセルとグリッドユニットセル間、および種々の個々のスタンダードセル間に提供される(ステップ503)。電気的な相互接続は、配線ツールを用いて行われ、これもまた一般的なASICソフトウエアのツールであることができる。
本発明の1つの特徴によれば、クロックおよび電源グリッドを形成するようにASICに配置されたとき、クロックおよび電源グリッドセルは、隣り合わせること(abutment)によりそれら自身を相互接続することができる。換言すれば、クロックおよび電源グリッドセルを互いに相互接続するために、ASICの配置および配線ツールにより要求される配線がないということである。より具体的には、単にグリッドセルをASICレイアウト内の所望の位置に配置することによって、隣接するセルが隣り合わせること(abutment)を介して互いに電気的に接続されるように、クロックおよび電源グリッドセルが構成され得る。しかしながら、クロックおよび電源は、配置によって形成されたグリッドとクロックおよび電源グリッド構造の内部に含まれるスタンダード論理セルとの間で配線される。
水平なグリッドユニット409の平面図が図6に示され、断面図(A−A’)が図7Aおよび図7Bに示され、斜視図が図8に示されている。水平グリッドの構成要素は、キャパシタとして使用される、機能しないトランジスタを形成する。図7Aは、PMOSの例を示し、図7Bは、NMOSの例を示している。
図6ないし図8を参照すると、第1導電型のmos−fetウエル601が基板に形成され、2つのソース/ドレイン拡散が第1のウエル601内に形成される。NMOSおよびPMOSの例において、2つのn+拡散615あるいはウエルタップがウエル601内に形成される。ウエルタップ615は、ウエルが適当な電気的な電位にあることを保証する。図7BのNMOSの例では、ウエルタップ615は、機能しないnチャンネルトランジスタのソース/ドレインとして働く。PMOSの例は、さらにp+拡散603を含み、この拡散603は、機能しないpチャンネルトランジスタのソース/ドレインとして働く。p+およびn+拡散603、615は、それぞれ第1(608aおよび608)と第2(609)の水平の電力レールに沿って水平方向に延在する。
ゲートオキサイド(酸化物)604は、NMOSの例としてソース/ドレイン拡散615の間に形成され、他方、PMOSの例では、ゲート酸化物604は、ソース/ドレイン拡散603の間に形成される。ゲート酸化物604は、mos−fetゲート604aを形成する導電性のポリシリコン材料によって覆われている。浅いトレンチアイソレーション617が、電子的な絶縁を提供するようにデバイスの外周に形成される。
第1の水平な電源レール(608aおよび608b)は、例えば、VDD電圧レールであり、これは、コンタクト605によってソース/ドレイン603に電気的に接続され、第2の水平な電源レール(609)は、例えばVSSであり、これは、コンタクト607によってゲート604aに電気的に接続される。PMOSの例では、第1の水平なレール608aと608bはまたコンタクト619によってウエルタップ615に電気的に接続される。いくつもの数のコンタクトが使用可能であることが理解されるべきである。
第1の水平なクロックライン611は、例えばsclkであり、これは、2つの電源レール608aと609との間に置かれ、第2の水平なクロックライン610は、例えばclkであり、これは2つの電源レール608bと609の間に置かれる。あらゆる数のVDDまたはVSSの電源レールが実施され得ることを理解すべきであるが、2つのVDDレールがデバイスのソースおよびドレインと結合するときにデカップリングの影響が生じ、それ故、キャパシタのような特性を提供する。
水平な電源レール608、609と水平なクロックライン610、611は、全て第1の金属化層M1で組み立てられる。電源レール間に配置されたクロックラインは、DC信号によって3つの側(サイド)が囲まれかつシールドされ、ASICの隣接する信号への電磁妨害を減少させ、かつ他のASIC信号からクロックへの電磁妨害を減少させる。
グリッドユニットセルは、VDD−VSSのデカップリングキャパシタンスを提供するように構成される。PMOSトランジスタの実施例のデカップリングキャパシタンスを詳細に説明する。nウエル601、ウエルタップ615、およびp+拡散603は、図7Aに示すようにPMOSトランジスタ構造を形成する。すなわち、p+拡散603は、トランジスタのソースとドレインである。ゲートがVSSに接続され、ソースとnウエルがVDDに接続された状態で、pチャンネルトランジスタは、ソースとドレイン間に導体を形成するようにチャンネル材料が完全に反転された状態に常に保持される。ここで、ゲート604aは、キャパシタの一方のプレートを構成し、ソース/ドレイン603および形成されたpチャンネルは、他方のプレートを構成し、ゲート酸化物604が2つのプレート間の誘電体材料を構成する。さらに、VDD電位のnウエル601とVSS電位のシリコン基板600との間に形成される接合キャパシタンスは、PMOSトランジスタによって提供されたゲートキャパシタンスにかなり加わる。この構成において、PMOSトランジスタは、各々のグリッドユニットセルの電源とグランドライン間に効果的なデカップリングキャパシタンスを提供する。
デカップリングは、ASICデザインの重要なファクターである。集積回路の電圧は、実質的な大きさのスイッチングが回路内に生じると、不安定になる傾向がある。個々のグリッドユニットセルを介してASIC中に分散された、VDDとVSS間にキャパシタンスをもつことは、電圧VDDを安定的に保つことを助け、ASICに大きなエラーが生じることを防ぐ。
ASICデザインにおける電圧を安定化するための従来の方法は、クロックツリーの各ノードにデカップリングキャパシタを追加することを含み、標準的な方法では、デカップリングキャパシタは、クロックドライバに置かれる。この方法は、ASICデバイス上に非常に大きな表面エリアを必要とした。よりコンパクトなASICデザインが望まれており、集積回路400の電源グリッドは、外部デバイスを追加する必要なしに、必要なデカップリングキャパシタを提供する。
垂直なグリッドユニットセル407の詳細な平面図が図9に示され、図10にその斜視図が示される。図9および図10に例示されるように、垂直グリッドユニットセル407は、例えば、VDD電源レールである2つの第1の垂直電源レール801a、801bと、この2つの第1の垂直電源レール801a、801b間に配置された第2の垂直電源レール802、例えばVSS電源レールを含む。2つの垂直クロックライン804と806(それぞれ例えばクロックclkとsclk)は、第1の電源レール801aおよび801bと第2の垂直電源レール802の間に配置される。具体的に、クロックラインclk804は、第1の垂直電源レール801aと第2の垂直電源レール802の間に位置される。クロックラインsclk806は、第1の垂直電源レール801bと第2の垂直電源レール802の間に位置される。垂直電源レールおよびクロックラインは、すべて第2の金属化層M2で形成され、この層は、第1の金属化層M1よりも高いレベルで形成される。第2の金属化層M2の垂直電源レールおよびクロックラインに加えて、垂直グリッドユニットセル407は、第1の金属化層M1のローカルな水平のVDDおよびVSS電源レール708、709をそれぞれ含む。垂直グリッドユニットセル407の垂直電源レールおよびクロックラインは、ローカルな水平のVDDおよりVSS電源レール708および709に対して垂直に走る。第2の金属化層M2の第1の垂直電源レール801a、801bは、ヴィア901を介して水平なローカルVDD電源レール708a、708bにそれぞれ電気的に接続され、第2の垂直電源レール802は、ビア903を介して水平なローカルVSS電源レール709に電気的に接続される。
垂直グリッドユニットセル407において、ローカルな水平電源レール708、709、およびウエル構造703、701は、クロックラインを含まないことを除き、概して、水平グリッドユニットセル409のそれと同様の方法で構成される。垂直グリッドユニット407のローカルな水平電源レールおよびクロックラインは、すべてが第1の金属化層M1で組み立てられた水平グリッドユニットセル409の水平電源レールと同じ高さである。スタンダードセルはまた、第1の金属化層M1と同じ高さからなるロジックを含む。従って、全ての垂直グリッドユニットセルは、集積された電源およびクロックグリッド400の全体に亘って配置される種々のスタンダードセルに電力を供給するために、第1の金属化層M1の電圧部品を含まなければならない。
図6ないし図8の水平グリッドユニットセル409のPMOSトランジスタの例と同様に、垂直グリッドユニットセル407は、2つのp+ソース/ドレイン拡散703と2つのn+拡散715がそこに配置されたn型のウエル701を有する。垂直グリッドユニットセル407はまた、NMOSトランジスタ構成を有することができることを理解すべきである。ゲート酸化物704の層は、2つのp+ソース/ドレイン703の間に配置され、mos−fetゲート704aを形成する導電性のポリシリコン材料によって覆われる。ローカルな水平VDD電源レール708aおよび708bは、第1の金属化層M1で構成され、コンタクト705でp+拡散703に接続され、またコンタクト706でn+拡散715に接続される。ローカルな水平VSS電源レール709はまた、第1の金属化層M1で加工され、コンタクト707によってゲート704aに接続される。
第1の垂直電源レール801aと801bは、第2の金属化層M2で加工され、ローカルな水平VDD電源レール708a、708bに対して垂直な配向または方向であり、さらにヴィア901を介してローカルな水平VDD電源レール708a、708bに電気的に接続される。第2の垂直電源レール802は、ローカルな水平VSS電源レール709に対して垂直な配向であり、第2の金属化層M2で加工され、さらにヴィア903を介してローカルな水平VSS電源レール709に電気的に接続される。垂直クロックライン804、806は、第2の金属化層M2で加工され、第1の垂直電源レール801aおよびb801bと第2の垂直電源レール802との間に位置される。
図11は、コーナーグリッドセル411の平面図を示し、図12は、コーナーグリッドユニットセル411の斜視図を示す。コーナーグリッドユニットセル411は、水平および垂直の両方向に電源およびクロックの要素を含む。水平方向を含む要素の全ては、第1の金属化層M1で構成され、垂直方向を含む要素の全ては、第2の金属化層M2で構成される。2つのp+ソース/ドレイン803と2つのn+ウエルタップ815は、n型ウエル805に拡散される。ゲート酸化物807は、2つのp+ソース/ドレイン803の間にデポジット(付着)され、mos−fetゲート807aを形成する導電性のポリシリコン材料によって覆われる。コーナーグリッドユニットセル411はまた、NMOSトランジスタ構成であることができることを理解すべきである。
第1の水平電源レール905aおよび905bは、例えばVDD電圧レールであり、第1の金属化層M1で構成され、コンタクト809を介してp+ソース/ドレイン803に接続され、かつコンタクト810を介してn+ウエルタップ815に接続される。第2の水平電源レール907は、例えばVSS電圧レールであり、これもまた第1の金属化層M1で構成され、コンタクト811を介してゲート807aに接続される。水平クロックライン911および909は、例えばsclkおおびclkは、これらは、それぞれ電源レール905と907の間に金属化層M1で配置される。具体的には、クロックライン911であるsclkは、電源レール905bと907の間に位置される。クロックライン909であるclkは、電源レール905aと907の間に位置される。
第1の垂直電源レール1001aおよび1001bは、例えばVDD電圧レールであり、これらは、金属化層M2で構成され、第1の水平電源レール905a、905bにビア913によって接続される。第2の垂直電源レール1003は、例えばVSS電圧レールであり、これは、第2の金属化層M2で構成され、ヴィア915によって水平電源レール907に接続される。垂直クロックライン1005であるclkおよび1007であるsclkは、ヴィア919および917を介して水平クロックライン909であるclkおよび911であるsclkにそれぞれ接続される。
コーナーグリッドユニット411は、垂直および水平グリッドユニット407および409の双方にある要素をすべて含むので、コーナーグリッドユニットは、それ故、垂直および水平グリッドユニットセルを結合することができる。
本例は、2つの電源VDDレール、1つの電源VSSレール、および2つのクロックラインsclk、clkを持ったものを提示した。種々の数の電圧または電源レールおよびクロックラインを含む他の組合せを用いることができることを理解されよう。また、種々の配向を含む組合せが実施可能である。M1とM2の配向はまた変更することができる。
電源およびクロックグリッドの集積または統合は、ASICツールによって容易に実施されるアプリケーションのクロックスキューを減少させるだけでなく、ASICデザインに付加的なデバイスを追加することなくデカップリングおよびシールドを提供する。クロックと電源グリッドの統合の他の利点は、設計がより少ない金属の層で組み立てることが可能となり、従って、ASIC設計の複雑さを非常に低減させる。
本発明は、好ましい実施例を参照して示されかつ述べられたが、形状や詳細の種々の変更が特許請求の範囲によって包含される発明の範囲を逸脱することなく成し得ることが当業者であれば理解されよう。
クロックトランクの図である。 簡略化されたローベースのセルレイアウトを示す図である。 クロックツリーの図である。 クロックツリーのスキューを表すタイミング図である。 クロックグリッドの図である。 クロックグリッドのスキューを表すタイミング図である。 水平、垂直およびコーナーグリッドユニットセルを含むグリッドシステムの実施を示す図である。 グリッドデザイン工程を説明するフローチャートである。 水平なグリッドユニットセルの平面図である。 図6の水平なグリッドユニットセルのPMOSの断面図である。 図6の水平なグリッドユニットセルのNMOSの断面図である。 図6の水平なグリッドユニットセルの斜視図である。 垂直なグリッドユニットセルの平面図である。 図9の垂直なグリッドユニットセルの斜視図である。 コーナーグリッドユニットセルの平面図である。 図11のコーナーグリッドユニットセルの斜視図である。

Claims (21)

  1. 複数のデザインセルから構成された回路であって、
    第1および第2の配向の導電グリッド部分を有する集積された電源およびクロック導電グリッドと第1および第2の配向の前記集積された電源およびクロック導電グリッド部分間の規則的な交差とを含む集積された電源およびクロックグリッドを形成するようにともに配されたグリッドデザインセルであって、各グリッドデザインセルは、第1および第2の配向の少なくとも1つにグリッドデザインセルの長さを延在する少なくとも1つのクロックラインと少なくとも1つの電源レールを含む、前記グリッドデザインセルと、
    前記集積された電源およびクロックグリッドと結合されかつ前記集積された電源およびクロックグリッド内に回路を形成する回路デザインセルと、
    を有する回路。
  2. デカップリングキャパシタンスは、各グリッドデザインセルの電源レールとグランドラインとの間に提供される、請求項に記載の回路。
  3. 前記集積された電源およびクロックグリッドのクロック部分は、前記集積された電源およびクロックグリッドの電源部分によってシールドされる、請求項に記載の回路。
  4. 少なくとも1つの電源レールおよび少なくとも1つのクロックラインは、同一の金属層で構成される、請求項1に記載の回路。
  5. 各グリッドデザインセルは、第2の配向の少なくとも1つの電源レールおよび少なくとも1つのクロックラインと第1の配向の少なくとも1つの他の電源レールとを含む、請求項1に記載の回路。
  6. 各グリッドデザインセルは、第1および第2の配向の各々に少なくとも1つの電源レールと少なくとも1つのクロックラインとを含む、請求項1に記載の回路。
  7. 各グリッドデザインセルは、異なる配向の電源レールを相互接続し、かつ異なる配向のクロックラインを相互接続する、請求項に記載の回路。
  8. 各グリッドデザインセルは、隣接するグリッドデザインセルが隣り合わせることによって互いに電気的に結合されるように形成される、請求項1に記載の回路。
  9. 回路を設計するコンピュータが実施する方法であって、
    集積された電源およびクロックグリッドの設計であって、当該集積された電源およびクロックグリッドは、第1および第2の配向の導電グリッド部分を有する集積された電源およびクロック導電グリッドと第1および第2の配向の前記集積された電源およびクロック導電グリッド部分間の規則的な交差を含み、かつともに配置された個々のグリッドデザインセルからなり、各グリッドデザインセルは、第1および第2の配向の少なくとも1つにグリッドデザインセルの長さを延在する少なくとも1つのクロックラインおよび少なくとも1つの電源レールを含んでおり、
    コンピュータを用いることにより、前記集積された電源およびクロックグリッドに結合されかつ前記集積された電源およびクロックグリッドに個々の回路デザインセルの回路を設計する、
    方法。
  10. 前記方法はさらに、ソフトウエアツールを使用することで、個々のグリッドデザインセルを配置し、
    前記ソフトウエアツールを使用することで個々の回路デザインセルを配置することを含む、請求項に記載の方法。
  11. 前記ソフトウエアツールは、ASICソフトウエアツールである、請求項に記載の方法。
  12. 前記方法はさらに、前記集積された電源およびクロックグリッドのクロック部分を、前記集積された電源およびクロックグリッドの電源部分でシールドすることを含む、請求項に記載の方法。
  13. 前記方法はさらに、前記集積された電源およびクロックグリッドの電源部分を使用してデカップリングキャパシタンスを提供することを含む、請求項に記載の方法。
  14. 前記方法はさらに、同一の金属層に少なくとも1つの電源レールと少なくとも1つのクロックラインを提供することを含む、請求項に記載の方法。
  15. 個々のグリッドデザインセルは、第2の配向に少なくとも1つの電源レールと少なくとも1つのクロックラインとを含み、かつ第1の配向に少なくとも1つの他の電源レールを含む、請求項に記載の方法。
  16. 個々のグリッドデザインセルは、第1および第2の配向の各々に少なくとも1つの電源レールと少なくとも1つのクロックラインとを含む、請求項に記載の方法。
  17. 前記方法はさらに、相互接続を提供するものであり、前記グリッドデザインセルは、異なる配向の電源レールを相互接続し、かつ異なる配向のクロックラインを相互接続することを含む、請求項16に記載の方法。
  18. 相互接続は、隣接するグリッドデザインセルを接合することによって提供される、請求項17に記載の方法。
  19. 集積された電源およびクロックグリッドを提供するコンピュータが実施する方法であって、
    コンピュータを使用することにより、ASICツールで個々のグリッドデザインセルを配置するものであり、前記グリッドデザインセルは、電圧およびクロック要素を含み、集積された電源およびクロックグリッドを形成し、前記集積された電源およびクロックグリッドは、第1および第2の配向の導電グリッド部分を有する集積された電源およびクロック導電グリッドと第1および第2の配向の前記集積された電源およびクロック導電グリッド部分間の規則的な交差とを含み、各グリッドデザインセルはさらに、少なくとも1つの電源レールと第1および第2の配向の少なくとも1つにグリッドデザインセルの長さを延在する少なくとも1つのクロックラインとを有しており、
    コンピュータを使用することにより、ASICツールで個々の回路デザインセルを配置すること、
    を含む方法。
  20. デザインシステムであって、
    少なくとも1つの回路デザインセルと、
    集積された電源およびクロックグリッドを形成する複数のグリッドデザインセルであって、前記集積された電源およびクロックグリッドは、第1および第2の配向の導電グリッド部分を有する集積された電源およびクロック導電グリッドと第1および第2の配向の前記集積された電源およびクロック導電グリッド部分間の規則的な交差とを含み、各グリッドデザインセルはさらに、第1および第2の配向の少なくとも1つにグリッドデザインセルの長さを拡張する少なくとも1つのクロックラインと少なくとも1つの電源レールとを含む、前記複数のグリッドデザインセルと、
    配置するソフトウエアであって、当該配置するソフトウエアは、複数のグリッドデザインセルと少なくとも1つの回路デザインセルを配置する、前記配置するソフトウエアと、
    ルーティングするソフトウエアであって、当該ルーティングソフトウエアは、複数のグリッドデザインセル間、少なくとも1つの回路デザインセルと複数のグリッドデザインセル間、および少なくとも1つの回路デザインセルと少なくとも1つの他の回路デザインセル間の相互結合を提供する、前記ルーティングするソフトウエアと、
    を有するデザインシステム。
  21. ASICスタンダードデザインセルであって、
    少なくとも1つの電源レールと、
    少なくとも1つのクロックラインであって、前記少なくとも1つの電源レールと前記少なくとも1つのクロックラインは互いに並行であり、前記少なくとも1つの電源レールと前記少なくとも1つのクロックラインは、隣接する回路デザインセルのグリッドラインに接続されるよう適合される、前記少なくとも1つのクロックラインと、
    を有するデザインセル。
JP2008547813A 2005-12-29 2006-12-22 クロックおよび電源グリッドスタンダードセルを用いたasicデザイン Expired - Fee Related JP5171639B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/322,160 US7761831B2 (en) 2005-12-29 2005-12-29 ASIC design using clock and power grid standard cell
US11/322,160 2005-12-29
PCT/CA2006/002118 WO2007073599A1 (en) 2005-12-29 2006-12-22 Asic design using clock and power grid standard cell

Publications (3)

Publication Number Publication Date
JP2009521811A JP2009521811A (ja) 2009-06-04
JP2009521811A5 JP2009521811A5 (ja) 2010-02-04
JP5171639B2 true JP5171639B2 (ja) 2013-03-27

Family

ID=38217649

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008547813A Expired - Fee Related JP5171639B2 (ja) 2005-12-29 2006-12-22 クロックおよび電源グリッドスタンダードセルを用いたasicデザイン

Country Status (7)

Country Link
US (1) US7761831B2 (ja)
EP (1) EP1974382A4 (ja)
JP (1) JP5171639B2 (ja)
KR (1) KR101328208B1 (ja)
CN (1) CN101351886B (ja)
TW (1) TWI444841B (ja)
WO (1) WO2007073599A1 (ja)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7475374B1 (en) * 2005-12-20 2009-01-06 Advanced Micro Devices, Inc. Clock grid driven by virtual leaf drivers
US7550820B2 (en) * 2006-08-10 2009-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse-biased PN diode decoupling capacitor
JP4733059B2 (ja) * 2007-01-30 2011-07-27 富士通株式会社 集積回路設計装置、集積回路設計方法及び集積回路設計プログラム
JP2008218730A (ja) * 2007-03-05 2008-09-18 Nec Electronics Corp 半導体装置の設計方法及び設計プログラム
US20080256380A1 (en) * 2007-04-16 2008-10-16 Masanori Tsutsumi Semiconductor integrated circuit and layout method for the same
JP2008311361A (ja) * 2007-06-13 2008-12-25 Nec Electronics Corp 半導体集積回路、半導体集積回路のレイアウト設計方法、及び半導体集積回路の自動レイアウトプログラム
KR101477512B1 (ko) * 2008-03-18 2014-12-31 삼성전자주식회사 액티브 클럭 쉴딩 구조의 회로 및 이를 포함하는 반도체집적 회로
JP2009231513A (ja) * 2008-03-21 2009-10-08 Elpida Memory Inc 半導体装置
TWI361362B (en) * 2008-03-25 2012-04-01 Realtek Semiconductor Corp Integrated circuit design method applied to a plurality of library cells and integrated circuit design system thereof
US8024690B2 (en) * 2008-05-19 2011-09-20 Arm Limited Method, system and computer program product for determining routing of data paths in interconnect circuitry providing a narrow interface for connection to a first device and a wide interface for connection to a distributed plurality of further devices
JP4582195B2 (ja) * 2008-05-29 2010-11-17 ソニー株式会社 表示装置
US7847408B2 (en) * 2009-01-16 2010-12-07 Oracle America, Inc. Integrated clock and power distribution
US8368226B2 (en) * 2009-12-23 2013-02-05 Oracle International Corporation Die power structure
US8402418B2 (en) * 2009-12-31 2013-03-19 Nvidia Corporation System and process for automatic clock routing in an application specific integrated circuit
US8742464B2 (en) 2011-03-03 2014-06-03 Synopsys, Inc. Power routing in standard cells
US8612914B2 (en) 2011-03-23 2013-12-17 Synopsys, Inc. Pin routing in standard cells
US8631374B2 (en) 2011-03-30 2014-01-14 Synopsys, Inc. Cell architecture for increasing transistor size
US8513978B2 (en) * 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
CN102799698B (zh) * 2011-05-26 2014-07-23 国际商业机器公司 一种用于专用集成电路的时钟树规划的方法和系统
JP5112539B2 (ja) * 2011-06-01 2013-01-09 株式会社東芝 半導体集積回路
US9939883B2 (en) 2012-12-27 2018-04-10 Nvidia Corporation Supply-voltage control for device power management
US8819610B2 (en) 2013-01-09 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US9602083B2 (en) 2013-07-03 2017-03-21 Nvidia Corporation Clock generation circuit that tracks critical path across process, voltage and temperature variation
US9766649B2 (en) 2013-07-22 2017-09-19 Nvidia Corporation Closed loop dynamic voltage and frequency scaling
US10103719B2 (en) 2013-07-22 2018-10-16 Nvidia Corporation Integrated voltage regulator with in-built process, temperature and aging compensation
US9984191B2 (en) * 2014-08-29 2018-05-29 Taiwan Semiconductor Manufacturing Company Cell layout and structure
CN104732029A (zh) * 2015-03-27 2015-06-24 西安华芯半导体有限公司 一种低失配时钟输出电路
US9640480B2 (en) * 2015-05-27 2017-05-02 Qualcomm Incorporated Cross-couple in multi-height sequential cells for uni-directional M1
CN106777437B (zh) * 2015-11-24 2020-05-19 龙芯中科技术有限公司 时钟系统的构造方法、装置和时钟系统
CN105550459B (zh) * 2015-12-29 2019-03-19 山东海量信息技术研究院 一种asic设计时钟网络提取系统
US10157254B2 (en) * 2015-12-29 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques based on electromigration characteristics of cell interconnect
EP3414642A4 (en) * 2016-02-08 2020-09-30 Chaologix, Inc. SIDE CHANNEL CONSCIOUS AUTOMATIC PLACE AND ROUTE
US11189569B2 (en) * 2016-09-23 2021-11-30 Advanced Micro Devices, Inc. Power grid layout designs for integrated circuits
US10366199B2 (en) 2017-04-11 2019-07-30 Qualcomm Incorporated Cell-based power grid (PG) architecture
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10540470B1 (en) * 2017-05-03 2020-01-21 Cadence Design Systems, Inc. Generating a power grid for an integrated circuit
CN107424991A (zh) * 2017-06-19 2017-12-01 南京中感微电子有限公司 一种集成电路及印刷电路板
US10747931B2 (en) 2017-07-28 2020-08-18 Advanced Micro Devices, Inc. Shift of circuit periphery layout to leverage optimal use of available metal tracks in periphery logic
US10163884B1 (en) 2017-08-02 2018-12-25 Qualcomm Incorporated Cell architecture with intrinsic decoupling capacitor
CN107817870A (zh) * 2017-10-16 2018-03-20 算丰科技(北京)有限公司 时钟信号传递方法和装置、时钟树、芯片、电子设备
US11120190B2 (en) * 2017-11-21 2021-09-14 Advanced Micro Devices, Inc. Metal zero power ground stub route to reduce cell area and improve cell placement at the chip level
US10438937B1 (en) 2018-04-27 2019-10-08 Advanced Micro Devices, Inc. Metal zero contact via redundancy on output nodes and inset power rail architecture
US10818762B2 (en) 2018-05-25 2020-10-27 Advanced Micro Devices, Inc. Gate contact over active region in cell
KR102157355B1 (ko) 2019-04-23 2020-09-18 삼성전자 주식회사 표준 셀들을 포함하는 집적 회로, 이를 제조하기 위한 방법 및 컴퓨팅 시스템
US10796061B1 (en) 2019-08-29 2020-10-06 Advanced Micro Devices, Inc. Standard cell and power grid architectures with EUV lithography
US11687778B2 (en) 2020-01-06 2023-06-27 The Research Foundation For The State University Of New York Fakecatcher: detection of synthetic portrait videos using biological signals
CN111934684B (zh) * 2020-07-31 2022-12-20 新华三半导体技术有限公司 一种缓冲器、时钟网格电路和信号驱动方法
CN113657065B (zh) * 2021-07-20 2023-08-25 长鑫存储技术有限公司 时钟电路、存储器及半导体结构的制作方法
US11853672B2 (en) 2021-07-28 2023-12-26 International Business Machines Corporation Integrated circuit development using adaptive tile design approach for metal insulator metal capacitor insertion
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5691662A (en) * 1994-04-07 1997-11-25 Hitachi Microsystems, Inc. Method for minimizing clock skew in integrated circuits and printed circuits
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
US6205571B1 (en) * 1998-12-29 2001-03-20 International Business Machines Corporation X-Y grid tree tuning method
US6510545B1 (en) * 2000-01-19 2003-01-21 Sun Microsystems, Inc. Automated shielding algorithm for dynamic circuits
US6397375B1 (en) 2000-02-18 2002-05-28 Hewlett-Packard Company Method for managing metal resources for over-the-block routing in integrated circuits
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6370678B1 (en) * 2000-04-27 2002-04-09 Agilent Technologies, Inc. System and method for adjusting logic synthesis based on power supply circuit models
US6617621B1 (en) * 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6737728B1 (en) * 2000-10-12 2004-05-18 Intel Corporation On-chip decoupling capacitor and method of making same
JP2002158335A (ja) * 2000-11-22 2002-05-31 Toshiba Corp 半導体装置の配線構造およびその設計方法
US6909127B2 (en) 2001-06-27 2005-06-21 Intel Corporation Low loss interconnect structure for use in microelectronic circuits
US6522186B2 (en) 2001-06-27 2003-02-18 Intel Corporation Hierarchical clock grid for on-die salphasic clocking
US6614279B2 (en) * 2001-08-29 2003-09-02 Intel Corporation Clock receiver circuit for on-die salphasic clocking
US6823499B1 (en) * 2001-09-18 2004-11-23 Lsi Logic Corporation Method for designing application specific integrated circuit structure
JP4931308B2 (ja) * 2001-09-28 2012-05-16 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US6910194B2 (en) * 2002-07-19 2005-06-21 Agilent Technologies, Inc. Systems and methods for timing a linear data path element during signal-timing verification of an integrated circuit design
US7739624B2 (en) * 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US6898769B2 (en) * 2002-10-10 2005-05-24 International Business Machines Corporation Decoupling capacitor sizing and placement
US6948142B2 (en) * 2003-06-02 2005-09-20 Lsi Logic Corporation Intelligent engine for protection against injected crosstalk delay
US7107200B1 (en) * 2003-10-03 2006-09-12 Sun Microsystems, Inc. Method and apparatus for predicting clock skew for incomplete integrated circuit design
US7237217B2 (en) * 2003-11-24 2007-06-26 International Business Machines Corporation Resonant tree driven clock distribution grid
US7111266B2 (en) * 2003-11-24 2006-09-19 International Business Machines Corp. Multiple voltage integrated circuit and design method therefor
US7117457B2 (en) * 2003-12-17 2006-10-03 Sequence Design, Inc. Current scheduling system and method for optimizing multi-threshold CMOS designs
JP2005268278A (ja) * 2004-03-16 2005-09-29 Matsushita Electric Ind Co Ltd 半導体装置
US7424696B2 (en) * 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
US7788613B2 (en) * 2005-07-06 2010-08-31 Fujitsu Limited Border-enhanced sliding window scheme (SWS) for determining clock timing in a mesh-based clock architecture

Also Published As

Publication number Publication date
CN101351886A (zh) 2009-01-21
KR20080089597A (ko) 2008-10-07
KR101328208B1 (ko) 2013-11-14
US7761831B2 (en) 2010-07-20
CN101351886B (zh) 2012-05-09
TW200809560A (en) 2008-02-16
TWI444841B (zh) 2014-07-11
JP2009521811A (ja) 2009-06-04
WO2007073599A1 (en) 2007-07-05
US20070157144A1 (en) 2007-07-05
EP1974382A4 (en) 2010-11-03
EP1974382A1 (en) 2008-10-01

Similar Documents

Publication Publication Date Title
JP5171639B2 (ja) クロックおよび電源グリッドスタンダードセルを用いたasicデザイン
US10747933B2 (en) Channel-less integrated circuit layout wiring for chips including a plurality of partitions
CN109314110B (zh) 用于基于鳍片计数的扩散的标准单元架构
Pavlidis et al. Interconnect-based design methodologies for three-dimensional integrated circuits
Das Design automation and analysis of three-dimensional integrated circuits
JP4002412B2 (ja) 基本セル、集積回路レイアウトセクション、集積回路レイアウト、集積回路デバイスおよび集積回路の信号線を設計する方法
US9292644B2 (en) Row based analog standard cell layout design and methodology
US9024657B2 (en) Architectural floorplan for a structured ASIC manufactured on a 28 NM CMOS process lithographic node or smaller
US8629548B1 (en) Clock network fishbone architecture for a structured ASIC manufactured on a 28 NM CMOS process lithographic node
US6308309B1 (en) Place-holding library elements for defining routing paths
US10812079B2 (en) Integrated circuit layout wiring for multi-core chips
US7564077B2 (en) Performance and area scalable cell architecture technology
US10114919B2 (en) Placing and routing method for implementing back bias in FDSOI
Shi et al. On the design of ultra-high density 14nm finfet based transistor-level monolithic 3d ics
TW202219808A (zh) 具有背側電力軌的單元架構
Pentapati et al. A logic-on-memory processor-system design with monolithic 3-D technology
Chang et al. Impact and design guideline of monolithic 3-D IC at the 7-nm technology node
Zhu et al. Package clock distribution design optimization for high-speed and low-power VLSIs
Moraes et al. An Efficient Layout Style for Three-Metal CMOS Macro-Cells
Deng et al. A feasibility study of 2.5 D system integration
Sabado II Asynchronous 3D (Async3D): Design Methodology and Analysis of 3D Asynchronous Circuits
Kalargaris Design Methodologies and Tools for Vertically Integrated Circuits
Xu HIGH PERFORMANCE CLOCK DISTRIBUTION FOR HIGH-SPEED VLSI SYSTEMS
Chen et al. Clock-tree routing with single buffer-block allocation strategy

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121122

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121225

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees