JP4854874B2 - Dry etching method - Google Patents

Dry etching method Download PDF

Info

Publication number
JP4854874B2
JP4854874B2 JP2001189579A JP2001189579A JP4854874B2 JP 4854874 B2 JP4854874 B2 JP 4854874B2 JP 2001189579 A JP2001189579 A JP 2001189579A JP 2001189579 A JP2001189579 A JP 2001189579A JP 4854874 B2 JP4854874 B2 JP 4854874B2
Authority
JP
Japan
Prior art keywords
groove
etching method
dry etching
gas
taper angle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001189579A
Other languages
Japanese (ja)
Other versions
JP2003007679A (en
Inventor
悦夫 飯嶋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2001189579A priority Critical patent/JP4854874B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to KR1020037016663A priority patent/KR100595065B1/en
Priority to US10/481,645 priority patent/US7183217B2/en
Priority to CNB028124936A priority patent/CN100336180C/en
Priority to KR1020067004635A priority patent/KR100702723B1/en
Priority to PCT/JP2002/005636 priority patent/WO2003001577A1/en
Priority to CNB2006100826286A priority patent/CN100403494C/en
Priority to TW091112669A priority patent/TWI364789B/zh
Publication of JP2003007679A publication Critical patent/JP2003007679A/en
Priority to US11/392,506 priority patent/US7531460B2/en
Application granted granted Critical
Publication of JP4854874B2 publication Critical patent/JP4854874B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造におけるドライエッチング方法に係り、特に、シャロートレンチアイソレーション(STI)において単結晶シリコンをエッチングし、所望形状の溝(トレンチ)を形成するドライエッチング方法に関する。
【0002】
【従来の技術】
近年、半導体装置の製造分野においては、素子分離技術として、所謂シャロートレンチアイソレーション(shallow trench isolation(STI))が多用されている。
【0003】
このようなSTIにおいては、単結晶シリコンに、異方性エッチングにより溝(トレンチ)を形成するトレンチエッチング工程を必要とするが、かかるトレンチエッチング工程は、従来、Cl2 とO2 の混合ガスをエッチングガスとして使用したプラズマエッチング等によって行われている。
【0004】
また、例えば、かかるトレンチエッチング工程を、HBrとCl2 と酸素の混合ガス等からなるエッチングガスを用いたプラズマエッチングにより行うことも公知である。
【0005】
【発明が解決しようとする課題】
上述したSTIにおいては、単結晶シリコンに形成した溝に、例えばSiO2 等の誘電材料を埋め込む必要がある。このため、かかる誘電材料の埋め込みを確実かつ容易にするため、溝の側壁を、溝底部から上側開口部に向けて徐々に広がるような所定角度のテーパ状に形成することが多い。
【0006】
しかしながら、溝の側壁形状は、例えば、一枚のウエハ内においても、中央部と周縁分等の位置の違いや、溝の幅の違い等によって、変化する傾向があり、全ての溝の側壁形状を所望の形状とすることは困難であるという問題があった。
【0007】
本発明は、かかる従来の事情に対処してなされたもので、溝の幅が相違する場合等においても、溝の側壁形状を所望の形状とすることができ、良好なエッチングを行うことのできるドライエッチング方法を提供しようとするものである。
【0008】
【課題を解決するための手段】
請求項1の発明は、シリコン単結晶に対して、窒化シリコンを含むマスク層を介して少なくとも第1の溝幅の第1の溝と前記第1の溝幅より広い第2の溝幅の第2の溝とを含む複数の溝を形成するドライエッチング方法であって、エッチング室内に設けられた一対の対向電極のうちの一方に基板を配置し、前記対向電極の双方に高周波電力を供給してプラズマによりエッチングを行う装置を用い、前記エッチング室内に少なくともClを含むガスと、Brを含むガスの混合ガスであるエッチングガスを導入し、前記基板が配置された側の前記対向電極に印加する高周波電力を0.157〜1.57W/cm 2 の範囲で調整することにより、前記第1の溝の側壁のテーパ角と前記第2の溝の側壁のテーパ角の差をなくすように制御することを特徴とする。
【0010】
請求項の発明は、請求項記載のドライエッチング方法において、前記Clを含むガスは、Cl2 であることを特徴とする。
【0011】
請求項の発明は、請求項1又は2記載のドライエッチング方法において、前記Brを含むガスは、HBrであることを特徴とする。
【0012】
請求項の発明は、請求項1〜いずれか1項記載のドライエッチング方法において、前記エッチングガスが酸素を含むことを特徴とする。
【0013】
請求項の発明は、請求項1〜いずれか1項記載のドライエッチング方法において、前記エッチングガスの総流量を調整して、前記第1の溝の側壁のテーパ角と前記第2の溝の側壁のテーパ角の差をなくすように制御することを特徴とする。
【0014】
請求項の発明は、請求項1〜いずれか1項記載のドライエッチング方法において、前記エッチングガスのうちのCl2 の量を調整して、前記第1の溝の側壁のテーパ角と前記第2の溝の側壁のテーパ角の差をなくすように制御することを特徴とする。
【0016】
請求項の発明は、請求項1〜いずれか1項記載のドライエッチング方法において、前記第1の溝幅が0.24μmであり、前記第2の溝幅が1.00μmであることを特徴とする。
【0017】
【発明の実施の形態】
以下、本発明の詳細を、図面を参照して実施の形態について説明する。
【0018】
図1は、本発明の一実施形態を説明するため、半導体ウエハ(シリコン基板)の縦断面の一部を拡大して模式的に示すものである。
【0019】
同図(a)に示すとおり、半導体ウエハ(シリコン基板)101上には、厚さが例えば9nm程度の二酸化シリコン層102と、厚さが例えば160nm程度の窒化シリコン層103が形成されており、これらは、溝を形成するための開口部を有するように、所定形状にパターニングされ、所謂ハードマスクを構成している。
【0020】
そして、本実施形態においては、上記の窒化シリコン層103等からなるハードマスクを介して、単結晶シリコンからなる半導体ウエハ101を、エッチングガスとして少なくともCl2 とHBrとを含むガスを用いたプラズマエッチングによりエッチングし、同図(b)に示すとおり、半導体ウエハ101に、溝(トレンチ)104a,104bを形成する。
【0021】
上記の溝104a,104bは、夫々所定の幅になるよう形成されるが、図中左側に示す溝104aは、幅が例えば0.24μmとされ、図中右側に示す溝104bは、幅が例えば1.00μmとされ、その幅が異なっている。また、これらの溝104a,104bの側壁105a,105bは、夫々略同じテーバ角を有するように形成され、深さも略同じように形成される。
【0022】
図2は、本発明の実施形態に使用するプラズマ処理装置の構成の一例を模式的に示すものである。同図に示すように、プラズマ処理装置1は、電極板が上下平行に対向し、双方に高周波電源が接続された容量結合型平行平板エッチング装置として構成されている。
【0023】
このエッチング処理装置1は、例えば表面がアルマイト処理(陽極酸化処理)されたアルミニウムからなる円筒形状に成形されたチャンバー2を有しており、このチャンバー2は接地されている。チャンバー2内の底部にはセラミックなどの絶縁板3を介して、ウエハWを載置するための略円柱状のサセプタ支持台4が設けられており、さらにこのサセプタ支持台4の上には、下部電極を構成するサセプタ5が設けられている。このサセプタ5にはハイパスフィルター(HPF)6が接続されている。
【0024】
サセプタ支持台4の内部には、温度調節媒体室7が設けられており、導入管8を介して温度調節媒体室7に温度調節媒体が導入、循環され、サセプタ5を所望の温度に制御できるようになっている。
【0025】
サセプタ5は、その上中央部が凸状の円板状に成形され、その上にウエハWと略同形の静電チャック11が設けられている。静電チャック11は、絶縁材の間に電極12が介在された構成となっており、電極12に接続された直流電源13から例えば1.5kVの直流電圧が印加されることにより、クーロン力によってウエハWを静電吸着する。
【0026】
そして、絶縁板3、サセプタ支持台4、サセプタ5、さらには静電チャック11には、被処理体であるウエハWの裏面に、伝熱媒体、例えばHeガスなどを供給するためのガス通路14が形成されており、この伝熱媒体を介してサセプタ5とウエハWとの間の熱伝達がなされ、ウエハWが所定の温度に維持されるようになっている。
【0027】
サセプタ5の上端周縁部には、静電チャック11上に載置されたウエハWを囲むように、環状のフォーカスリング15が配置されている。このフォーカスリング15はセラミックス或いは石英などの絶縁性材料からなり、エッチングの均一性を向上させるようになっている。
【0028】
また、サセプタ5の上方には、このサセプタ5と平行に対向して上部電極21が設けられている。この上部電極21は、絶縁材22を介して、チャンバー2の上部に支持されており、サセプタ5との対向面を構成し、多数の吐出孔23を有する例えば石英からなる電極板24と、この電極24を支持する導電性材料例えば表面がアルマイト処理されたアルミニウムからなる電極支持体25とによって構成されている。なお、サセプタ5と上部電極21との間隔は、調節可能とされている。
【0029】
上部電極21における電極支持体25の中央にはガス導入口26が設けられ、さらにこのガス導入口26には、ガス供給管27が接続されており、さらにこのガス供給管27には、バルブ28、並びにマスフローコントローラ29を介して、処理ガス供給器30が接続され、この処理ガス供給器30から、プラズマエッチングのためのエッチングガスが供給されるようになっている。なお、図2には、上記の処理ガス供給器30等からなる処理ガス供給系を1つのみ図示しているが、これらの処理ガス供給系は複数設けられており、例えば、HBr、Cl2 、O2 等のガスを夫々独立に流量制御して、チャンバー2内に供給できるよう構成されている。
【0030】
一方、チャンバー2の底部には排気管31が接続されており、この排気管31には排気装置35が接続されている。排気装置35はターボ分子ポンプなどの真空ポンプを備えており、これによりチャンバー2内を所定の減圧雰囲気、例えば1Pa以下の所定の圧力まで真空引き可能なように構成されている。また、チャンバー2の側壁にはゲートバルブ32が設けられており、このゲートバルブ32を開にした状態でウエハWが隣接するロードロック室(図示せず)との間で搬送されるようになっている。
【0031】
上部電極21には、第1の高周波電源40が接続されており、その給電線には整合器41が介挿されている。また、上部電極21にはローパスフィルター(LPF)42が接続されている。この第1の高周波電源40は、50〜150MHzの範囲の周波数を有しており、このように高い周波数を印加することによりチャンバー2内に好ましい解離状態でかつ高密度のプラズマを形成することができ、従来より低圧条件下のプラズマ処理が可能となる。この第1の高周波電源40の周波数は、50〜80MHzが好ましく、典型的には図示した60MHzまたはその近傍の条件が採用される。
【0032】
下部電極としてのサセプタ5には、第2の高周波電源50が接続されており、その給電線には整合器51が介挿されている。この第2の高周波電源50は数百〜十数MHzの範囲の周波数を有しており、このような範囲の周波数を印加することにより、被処理体であるウエハWに対してダメージを与えることなく適切なイオン作用を与えることができる。第2の高周波電源50の周波数は、典型的には図示した13.56MHzまたは800KHz等の条件が採用される。
【0033】
次に、上記構成のプラズマ処理装置1によって、シリコン単結晶からなるウエハWをエッチングし、溝(トレンチ)を形成する工程について説明する。
【0034】
まず、前述したように、二酸化シリコン層102、窒化シリコン層103からなるマスク層が形成されたウエハWを、ゲートバルブ32を開放して、図示しないロードロック室からチャンバー2内へ搬入し、静電チャック11上に載置する。そして、高圧直流電源13から直流電圧を印加することによって、ウエハWを静電チャック11上に静電吸着する。
【0035】
次いで、ゲートバルブ32を閉じ、排気機構35によって、チャンバー2内を所定の真空度まで真空引した後、バルブ28を開放し、処理ガス供給器30からメインエッチング用のエッチングガス、例えば、HBrとCl2 、又はHBrとCl2 とO2 を、マスフローコントローラ29によってその流量を調整しつつ、処理ガス供給管27、ガス導入口26、上部電極21の中空部、電極板24の吐出孔23を通じて、図2の矢印に示すように、ウエハWに対して均一に吐出させる。
【0036】
これとともに、チャンバー2内の圧力が、所定の圧力、例えば、13.3Pa程度の圧力に維持され、第1の高周波電源40及び第2の高周波電源50から、上部電極21及び下部電極としてのサセプタ5に高周波電圧を印加し、エッチングガスをプラズマ化して、ウエハWのエッチングを行う。
【0037】
図3,4のグラフは、縦軸をテーパ角、横軸を下部電力(下部電極への供給電力)として、上記エッチング処理装置1を用いた8インチ径のウエハWのエッチングにおいて、第2の高周波電源50から下部電極としてのサセプタ5に供給する電力と、溝の側壁のテーパ角の関係を示すもので、図3は、溝幅が0.24μmの場合、図4は溝幅が1.00μmの場合を示している。
【0038】
また、図3,4において、実線A,CはウエハWの中央部分、点線B,DはウエハWの周辺部分の溝におけるテーパ角を示している。
【0039】
なお、エッチング条件は、

Figure 0004854874
である。
【0040】
図3のグラフに示されるとおり、溝幅が0.24μmの狭い溝104aの場合、下部電力の上昇に伴って、テーパ角が直線的にに増大する傾向を示す。一方、図4のグラフに示されるとおり、溝幅が1.00μmの広い溝104bの場合、下部電力を変化させても、テーパ角は、ほとんど変化しない。
【0041】
このため、図3,4に示す例では、下部電力を100W(0.314W/cm2 )とするこより、溝幅が狭い溝104aと、溝幅が広い溝104bの双方のテーパ角を略同一に制御することができる。
【0042】
なお、図5,6のグラフは、縦軸をテーパ角、横軸を上部電力(上部電極への供給電力)として、上記エッチング処理装置1を用いた8インチ径のウエハWのエッチングにおいて、第1の高周波電源40から上部電極21に供給する電力と、溝の側壁のテーパ角の関係を示すもので、図5は、溝幅が0.24μmの場合、図6は溝幅が1.00μmの場合を示している。
【0043】
また、図5,6において、実線E,GはウエハWの中央部分、点線F,HはウエハWの周辺部分の溝におけるテーパ角を示している。
【0044】
これらの図5,6のグラフに示されるとおり、上部電力を変更しても、テーパ角の顕著な変化は見られず、従って、テーパ角を制御する場合、上述したとおり、下部電力を調整することによって、その制御を行うことが有効であることが分かる。
【0045】
なお、下部電力をあまり多くすると、エッチング速度が上昇するとともに、マスク層である窒化シリコン等との選択比が低下するので、最大で500W程度とすることが好ましい。また、上述した100Wを大きく下回り、50W未満となると、エッチング速度が低下してしまうので、下部電力は、8インチ径のウエハに対して、50〜500W程度、したがって、単位面積当たりの電力としては、0.157〜1.57W/cm2 の範囲とすることが好ましい。
【0046】
図7のグラフは、縦軸をエッチング深さ(従って実質的にエッチング速度)、横軸をエッチングガス中のCl2 の比(Cl2 流量/総流量)として、上記エッチング処理装置1を用いた8インチ径のウエハWのエッチングにおいて、エッチング深さとCl2 の比の関係を示すもので、実線Iが、溝幅が0.24μmの場合、点線Jが、溝幅が1.00μmの場合を示している。
【0047】
また、図8のグラフは、縦軸をテーパ角、横軸をエッチングガス中のCl2 の比(Cl2 流量/総流量)として、上記エッチング処理装置1を用いた8インチ径のウエハWのエッチングにおいて、テーパ角とCl2 の比の関係を示すもので、実線Kが、溝幅が0.24μmの場合、点線Lが、溝幅が1.00μmの場合を示している。
【0048】
なお、エッチング条件は、
Figure 0004854874
である。
【0049】
これらの図7,8のグラフに示されるとおり、エッチングガス中のCl2 の比を変更することによって、エッチング速度が変化し、また、テーパ角度も変化する。さらに、図8のグラフに示されるとおり、テーパ角度の変化は、溝幅が0.24μmの場合(実線K)と、溝幅が1.00μmの場合(点線L)とでは、一様ではない。
【0050】
したがって、例えば、必要とするエッチング速度とテーパ角が得られるように、エッチングガス中のCl2 の比を変更した場合、溝幅が狭い溝と、溝幅が広い溝とでは、異なったテーパ角となる可能性が高くなる。このような場合、前述したとおり、下部電圧を調整することによって、かかるテーパ角の差をなくすように制御することができる。
【0051】
図9のグラフは、縦軸をエッチング深さ(従って実質的にエッチング速度)、横軸をエッチングガスの総流量として、上記エッチング処理装置1を用いた8インチ径のウエハWのエッチングにおいて、エッチング深さとエッチングガスの総流量との関係を示すもので、実線Mが、溝幅が0.24μmの場合、点線Nが、溝幅が1.00μmの場合を示している。
【0052】
また、図10のグラフは、縦軸をテーパ角、横軸をエッチングガスの総流量として、上記エッチング処理装置1を用いた8インチ径のウエハWのエッチングにおいて、テーパ角とエッチングガスの総流量との関係を示すもので、実線Oが、溝幅が0.24μmの場合、点線Pが、溝幅が1.00μmの場合を示している。
なお、エッチング条件は、
Figure 0004854874
である。
【0053】
これらの図9,10のグラフに示されるとおり、エッチングガスの総流量を変更することによって、エッチング速度が変化し、また、テーパ角度も変化する。
さらに、図10のグラフに示されるとおり、テーパ角度の変化は、溝幅が0.24μmの場合(実線K)と、溝幅が1.00μmの場合(点線L)とでは、一様ではない。
【0054】
したがって、例えば、必要とするエッチング速度とテーパ角が得られるように、エッチングガスの総流量を変更した場合、溝幅が狭い溝と、溝幅が広い溝とでは、異なったテーパ角となる可能性が高くなる。このような場合、前述したとおり、下部電圧を調整することによって、かかるテーパ角の差をなくすように制御することができる。
【0055】
以上のとおり、本発明のドライエッチング方法では、エッチングガスの総流量、エッチングガス中のCl2 の量(比)等とともに、下部電力を調整することによって、溝幅の異なる溝が混在するような場合においても、これらの溝の側壁形状を、所望のテーパ角を有するように、所定形状とすることができる。
【0056】
したがって、その後の誘電体の埋め込みを良好に行うことができ、STIによる素子分離を良好に行うことが可能となる。
【0057】
【発明の効果】
以上詳細に説明したように、本発明のドライエッチング方法によれば、溝の幅が相違する場合等においても、溝の側壁形状を所望の形状とすることができ、良好なエッチングを行うことができる。
【図面の簡単な説明】
【図1】本発明の一実施形態を説明するためのウエハ断面の構成を模式的に示す図。
【図2】本発明の一実施形態に使用する装置の構成の例を示す図。
【図3】下部電力と溝幅が0.24μmの溝のテーパ角度の関係を示すグラフ。
【図4】下部電力と溝幅が1.00μmの溝のテーパ角度の関係を示すグラフ。
【図5】上部電力と溝幅が0.24μmの溝のテーパ角度の関係を示すグラフ。
【図6】上部電力と溝幅が1.00μmの溝のテーパ角度の関係を示すグラフ。
【図7】エッチング深さとCl2 の比の関係を示すグラフ。
【図8】テーパ角とCl2 の比の関係を示すグラフ。
【図9】エッチング深さとエッチングガスの総流量との関係を示すグラフ。
【図10】テーパ角とエッチングガスの総流量との関係を示すグラフ。
【符号の説明】
101……基板(単結晶シリコン)、102……二酸化シリコン層、103……窒化シリコン層、104a,104b……溝(トレンチ)、105a,105b……側壁。[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a dry etching method in manufacturing a semiconductor device, and more particularly to a dry etching method for etching a single crystal silicon in shallow trench isolation (STI) to form a groove (trench) having a desired shape.
[0002]
[Prior art]
In recent years, in the field of manufacturing semiconductor devices, so-called shallow trench isolation (STI) is frequently used as an element isolation technique.
[0003]
Such STI requires a trench etching process for forming a groove (trench) in single crystal silicon by anisotropic etching. This trench etching process conventionally uses a mixed gas of Cl 2 and O 2. This is performed by plasma etching or the like used as an etching gas.
[0004]
In addition, for example, it is also known to perform such a trench etching process by plasma etching using an etching gas made of a mixed gas of HBr, Cl 2 and oxygen.
[0005]
[Problems to be solved by the invention]
In the above-described STI, it is necessary to embed a dielectric material such as SiO 2 in a groove formed in single crystal silicon. For this reason, in order to reliably and easily embed such a dielectric material, the side wall of the groove is often formed in a tapered shape having a predetermined angle so as to gradually spread from the groove bottom toward the upper opening.
[0006]
However, the groove sidewall shape tends to change, for example, even within a single wafer due to differences in the position of the central portion and the periphery, the difference in groove width, and the like. There is a problem that it is difficult to make the desired shape.
[0007]
The present invention has been made in response to such a conventional situation, and even when the width of the groove is different, the side wall shape of the groove can be set to a desired shape, and good etching can be performed. An object of the present invention is to provide a dry etching method.
[0008]
[Means for Solving the Problems]
According to the first aspect of the present invention, a first groove having at least a first groove width and a second groove width wider than the first groove width are provided for a silicon single crystal through a mask layer containing silicon nitride . A dry etching method for forming a plurality of grooves including two grooves, wherein a substrate is disposed on one of a pair of counter electrodes provided in an etching chamber, and high-frequency power is supplied to both of the counter electrodes. Then , an etching gas that is a mixed gas of at least Cl and a gas containing Br is introduced into the etching chamber and applied to the counter electrode on the side where the substrate is disposed. By adjusting the high frequency power in the range of 0.157 to 1.57 W / cm 2 , the difference between the taper angle of the side wall of the first groove and the taper angle of the side wall of the second groove is controlled. Special To.
[0010]
The invention of claim 2 is the dry etching method of claim 1, wherein gas containing Cl is characterized by a Cl 2.
[0011]
According to a third aspect of the present invention, in the dry etching method according to the first or second aspect, the gas containing Br is HBr.
[0012]
According to a fourth aspect of the present invention, in the dry etching method according to any one of the first to third aspects, the etching gas contains oxygen.
[0013]
According to a fifth aspect of the present invention, in the dry etching method according to any one of the first to fourth aspects, the total flow rate of the etching gas is adjusted to adjust the taper angle of the side wall of the first groove and the second groove. Control is performed so as to eliminate the difference in the taper angle of the side wall.
[0014]
The invention of claim 6 is the dry etching method according to any one of claims 1 to 5 , wherein the amount of Cl 2 in the etching gas is adjusted, and the taper angle of the side wall of the first groove Control is performed so as to eliminate the difference in the taper angle of the side wall of the second groove.
[0016]
The invention according to claim 7 is the dry etching method according to any one of claims 1 to 6 , wherein the first groove width is 0.24 μm and the second groove width is 1.00 μm. Features.
[0017]
DETAILED DESCRIPTION OF THE INVENTION
The details of the present invention will be described below with reference to the drawings.
[0018]
FIG. 1 schematically shows an enlarged part of a longitudinal section of a semiconductor wafer (silicon substrate) for explaining an embodiment of the present invention.
[0019]
As shown in FIG. 2A, a silicon dioxide layer 102 having a thickness of, for example, about 9 nm and a silicon nitride layer 103 having a thickness of, for example, about 160 nm are formed on a semiconductor wafer (silicon substrate) 101. These are patterned into a predetermined shape so as to have an opening for forming a groove, and constitute a so-called hard mask.
[0020]
In this embodiment, plasma etching using a gas containing at least Cl 2 and HBr as an etching gas is performed on the semiconductor wafer 101 made of single crystal silicon through the hard mask made of the silicon nitride layer 103 or the like. Etching is performed to form grooves (trench) 104a and 104b in the semiconductor wafer 101 as shown in FIG.
[0021]
The grooves 104a and 104b are each formed to have a predetermined width. The groove 104a shown on the left side in the figure has a width of, for example, 0.24 μm, and the groove 104b shown on the right side in the figure has a width of, for example, The width is 1.00 μm. Further, the side walls 105a and 105b of the grooves 104a and 104b are formed so as to have substantially the same Taber angle, and the depths thereof are also formed substantially the same.
[0022]
FIG. 2 schematically shows an example of the configuration of the plasma processing apparatus used in the embodiment of the present invention. As shown in the figure, the plasma processing apparatus 1 is configured as a capacitively coupled parallel plate etching apparatus in which electrode plates face each other in parallel in the vertical direction and a high frequency power source is connected to both.
[0023]
This etching processing apparatus 1 has a chamber 2 formed into a cylindrical shape made of aluminum whose surface is anodized (anodized), for example, and this chamber 2 is grounded. A substantially cylindrical susceptor support 4 for mounting the wafer W is provided on the bottom of the chamber 2 via an insulating plate 3 such as ceramic. Further, on the susceptor support 4, A susceptor 5 constituting the lower electrode is provided. A high pass filter (HPF) 6 is connected to the susceptor 5.
[0024]
A temperature control medium chamber 7 is provided inside the susceptor support 4, and the temperature control medium is introduced into the temperature control medium chamber 7 through the introduction pipe 8 and circulated so that the susceptor 5 can be controlled to a desired temperature. It is like that.
[0025]
The upper center portion of the susceptor 5 is formed into a convex disk shape, and an electrostatic chuck 11 having substantially the same shape as the wafer W is provided thereon. The electrostatic chuck 11 has a configuration in which an electrode 12 is interposed between insulating materials. When a DC voltage of, for example, 1.5 kV is applied from a DC power source 13 connected to the electrode 12, the electrostatic chuck 11 has a Coulomb force. The wafer W is electrostatically adsorbed.
[0026]
The insulating plate 3, the susceptor support 4, the susceptor 5, and further the electrostatic chuck 11 have a gas passage 14 for supplying a heat transfer medium, such as He gas, to the back surface of the wafer W that is the object to be processed. The heat transfer between the susceptor 5 and the wafer W is performed via the heat transfer medium, so that the wafer W is maintained at a predetermined temperature.
[0027]
An annular focus ring 15 is disposed at the upper peripheral edge of the susceptor 5 so as to surround the wafer W placed on the electrostatic chuck 11. The focus ring 15 is made of an insulating material such as ceramics or quartz, and improves the uniformity of etching.
[0028]
An upper electrode 21 is provided above the susceptor 5 so as to face the susceptor 5 in parallel. The upper electrode 21 is supported on the upper portion of the chamber 2 via an insulating material 22, constitutes a surface facing the susceptor 5, and has an electrode plate 24 made of, for example, quartz having a number of discharge holes 23, The electrode 24 is composed of a conductive material that supports the electrode 24, for example, an electrode support 25 made of aluminum having an anodized aluminum surface. The interval between the susceptor 5 and the upper electrode 21 can be adjusted.
[0029]
A gas introduction port 26 is provided at the center of the electrode support 25 in the upper electrode 21, and a gas supply pipe 27 is connected to the gas introduction port 26, and a valve 28 is connected to the gas supply pipe 27. In addition, a processing gas supply unit 30 is connected via the mass flow controller 29, and an etching gas for plasma etching is supplied from the processing gas supply unit 30. FIG. 2 shows only one processing gas supply system including the processing gas supply device 30 and the like. However, a plurality of these processing gas supply systems are provided, for example, HBr, Cl 2. , O 2 and the like can be supplied into the chamber 2 by independently controlling the flow rate of each gas.
[0030]
On the other hand, an exhaust pipe 31 is connected to the bottom of the chamber 2, and an exhaust device 35 is connected to the exhaust pipe 31. The exhaust device 35 includes a vacuum pump such as a turbo molecular pump, and is configured so that the inside of the chamber 2 can be evacuated to a predetermined reduced pressure atmosphere, for example, a predetermined pressure of 1 Pa or less. Further, a gate valve 32 is provided on the side wall of the chamber 2, and the wafer W is transported between adjacent load lock chambers (not shown) with the gate valve 32 opened. ing.
[0031]
A first high frequency power supply 40 is connected to the upper electrode 21, and a matching device 41 is inserted in the feeder line. Further, a low pass filter (LPF) 42 is connected to the upper electrode 21. The first high-frequency power source 40 has a frequency in the range of 50 to 150 MHz. By applying such a high frequency, a high-density plasma can be formed in a preferable dissociated state in the chamber 2. It is possible to perform plasma processing under a lower pressure condition than before. The frequency of the first high-frequency power supply 40 is preferably 50 to 80 MHz, and typically the illustrated condition of 60 MHz or the vicinity thereof is adopted.
[0032]
A second high-frequency power source 50 is connected to the susceptor 5 serving as a lower electrode, and a matching unit 51 is interposed in the power supply line. The second high-frequency power supply 50 has a frequency in the range of several hundred to several tens of MHz, and damages the wafer W that is the object to be processed by applying a frequency in such a range. And an appropriate ionic effect can be provided. As the frequency of the second high frequency power supply 50, typically, the conditions such as 13.56 MHz or 800 KHz shown in the figure are adopted.
[0033]
Next, a process of forming a groove (trench) by etching the wafer W made of silicon single crystal by the plasma processing apparatus 1 having the above configuration will be described.
[0034]
First, as described above, the wafer W on which the mask layer composed of the silicon dioxide layer 102 and the silicon nitride layer 103 is formed is loaded into the chamber 2 from the load lock chamber (not shown) with the gate valve 32 opened. Place on the electric chuck 11. The wafer W is electrostatically adsorbed on the electrostatic chuck 11 by applying a DC voltage from the high-voltage DC power supply 13.
[0035]
Next, after the gate valve 32 is closed and the inside of the chamber 2 is evacuated to a predetermined degree of vacuum by the exhaust mechanism 35, the valve 28 is opened, and an etching gas for main etching, such as HBr, is supplied from the processing gas supply 30. The flow rate of Cl 2 or HBr, Cl 2, and O 2 is adjusted by the mass flow controller 29, while passing through the processing gas supply pipe 27, the gas inlet 26, the hollow portion of the upper electrode 21, and the discharge holes 23 of the electrode plate 24. As shown by the arrows in FIG. 2, the wafer W is uniformly discharged.
[0036]
At the same time, the pressure in the chamber 2 is maintained at a predetermined pressure, for example, about 13.3 Pa. From the first high frequency power supply 40 and the second high frequency power supply 50, the susceptor as the upper electrode 21 and the lower electrode is provided. A high frequency voltage is applied to 5 to turn the etching gas into plasma, and the wafer W is etched.
[0037]
3 and 4, the vertical axis represents the taper angle, and the horizontal axis represents the lower power (power supplied to the lower electrode). In the etching of the 8-inch diameter wafer W using the etching processing apparatus 1, FIG. 3 shows the relationship between the power supplied from the high frequency power source 50 to the susceptor 5 as the lower electrode and the taper angle of the side wall of the groove. FIG. 3 shows a case where the groove width is 0.24 μm, and FIG. The case of 00 μm is shown.
[0038]
3 and 4, solid lines A and C indicate the central portion of the wafer W, and dotted lines B and D indicate the taper angle in the groove in the peripheral portion of the wafer W.
[0039]
Etching conditions are as follows:
Figure 0004854874
It is.
[0040]
As shown in the graph of FIG. 3, in the case of the narrow groove 104a having a groove width of 0.24 μm, the taper angle tends to increase linearly as the lower power increases. On the other hand, as shown in the graph of FIG. 4, in the case of the wide groove 104b having a groove width of 1.00 μm, the taper angle hardly changes even when the lower power is changed.
[0041]
For this reason, in the example shown in FIGS. 3 and 4, by setting the lower power to 100 W (0.314 W / cm 2 ), the taper angles of both the narrow groove 104a and the wide groove 104b are approximately the same. Can be controlled.
[0042]
5 and 6, the vertical axis represents the taper angle and the horizontal axis represents the upper power (power supplied to the upper electrode). In the etching of the 8-inch wafer W using the etching processing apparatus 1, FIG. 5 shows the relationship between the power supplied to the upper electrode 21 from the high-frequency power source 1 and the taper angle of the side wall of the groove. FIG. 5 shows the case where the groove width is 0.24 μm, and FIG. 6 shows the groove width is 1.00 μm. Shows the case.
[0043]
5 and 6, solid lines E and G indicate the central portion of the wafer W, and dotted lines F and H indicate the taper angles in the grooves in the peripheral portion of the wafer W.
[0044]
As shown in the graphs of FIGS. 5 and 6, even when the upper power is changed, the taper angle is not significantly changed. Therefore, when the taper angle is controlled, the lower power is adjusted as described above. It can be seen that it is effective to perform the control.
[0045]
If the lower power is excessively increased, the etching rate is increased and the selectivity with respect to silicon nitride or the like serving as a mask layer is decreased. In addition, since the etching rate decreases when the power is significantly lower than the above-described 100 W and less than 50 W, the lower power is about 50 to 500 W with respect to an 8-inch diameter wafer. Therefore, the power per unit area is as follows. , 0.157 to 1.57 W / cm 2 is preferable.
[0046]
In the graph of FIG. 7, the etching processing apparatus 1 was used with the vertical axis representing the etching depth (and hence the etching rate) and the horizontal axis representing the ratio of Cl 2 in the etching gas (Cl 2 flow rate / total flow rate). In the etching of an 8-inch wafer W, the relationship between the etching depth and the Cl 2 ratio is shown. When the solid line I has a groove width of 0.24 μm, the dotted line J has a groove width of 1.00 μm. Show.
[0047]
In the graph of FIG. 8, the vertical axis represents the taper angle, and the horizontal axis represents the ratio of Cl 2 in the etching gas (Cl 2 flow rate / total flow rate). In the etching, the relationship between the taper angle and the Cl 2 ratio is shown. The solid line K indicates the case where the groove width is 0.24 μm, and the dotted line L indicates the case where the groove width is 1.00 μm.
[0048]
Etching conditions are as follows:
Figure 0004854874
It is.
[0049]
As shown in the graphs of FIGS. 7 and 8, by changing the ratio of Cl 2 in the etching gas, the etching rate changes and the taper angle also changes. Further, as shown in the graph of FIG. 8, the change in taper angle is not uniform when the groove width is 0.24 μm (solid line K) and when the groove width is 1.00 μm (dotted line L). .
[0050]
Therefore, for example, when the ratio of Cl 2 in the etching gas is changed so that the required etching rate and taper angle can be obtained, different taper angles are used for grooves having a narrow groove width and grooves having a large groove width. Is likely to be. In such a case, as described above, the taper angle difference can be controlled by adjusting the lower voltage.
[0051]
In the graph of FIG. 9, the vertical axis represents the etching depth (and hence the etching rate), and the horizontal axis represents the total flow rate of the etching gas. The relationship between the depth and the total flow rate of the etching gas is shown. A solid line M indicates a case where the groove width is 0.24 μm, and a dotted line N indicates a case where the groove width is 1.00 μm.
[0052]
Further, the graph of FIG. 10 shows the taper angle and the total flow rate of the etching gas in the etching of the 8-inch wafer W using the etching processing apparatus 1 with the vertical axis indicating the taper angle and the horizontal axis indicating the total flow rate of the etching gas. The solid line O indicates the case where the groove width is 0.24 μm, and the dotted line P indicates the case where the groove width is 1.00 μm.
Etching conditions are as follows:
Figure 0004854874
It is.
[0053]
As shown in the graphs of FIGS. 9 and 10, by changing the total flow rate of the etching gas, the etching rate changes and the taper angle also changes.
Furthermore, as shown in the graph of FIG. 10, the change in taper angle is not uniform when the groove width is 0.24 μm (solid line K) and when the groove width is 1.00 μm (dotted line L). .
[0054]
Therefore, for example, when the total etching gas flow rate is changed so that the required etching rate and taper angle can be obtained, the taper angle can be different between a groove having a narrow groove width and a groove having a wide groove width. Increases nature. In such a case, as described above, the taper angle difference can be controlled by adjusting the lower voltage.
[0055]
As described above, in the dry etching method of the present invention, grooves having different groove widths are mixed by adjusting the lower power together with the total flow rate of the etching gas, the amount (ratio) of Cl 2 in the etching gas, and the like. Even in such a case, the shape of the side walls of these grooves can be a predetermined shape so as to have a desired taper angle.
[0056]
Therefore, subsequent dielectric embedding can be performed satisfactorily, and element isolation by STI can be performed satisfactorily.
[0057]
【The invention's effect】
As described in detail above, according to the dry etching method of the present invention, even when the groove widths are different, the side wall shape of the groove can be made a desired shape, and good etching can be performed. it can.
[Brief description of the drawings]
FIG. 1 is a diagram schematically showing a configuration of a wafer cross section for explaining an embodiment of the present invention.
FIG. 2 is a diagram showing an example of the configuration of an apparatus used in an embodiment of the present invention.
FIG. 3 is a graph showing a relationship between a lower power and a taper angle of a groove having a groove width of 0.24 μm.
FIG. 4 is a graph showing the relationship between the lower power and the taper angle of a groove having a groove width of 1.00 μm.
FIG. 5 is a graph showing the relationship between the upper power and the taper angle of a groove having a groove width of 0.24 μm.
FIG. 6 is a graph showing the relationship between the upper power and the taper angle of a groove having a groove width of 1.00 μm.
FIG. 7 is a graph showing the relationship between the etching depth and the ratio of Cl 2 .
FIG. 8 is a graph showing the relationship between the taper angle and the Cl 2 ratio.
FIG. 9 is a graph showing the relationship between the etching depth and the total flow rate of the etching gas.
FIG. 10 is a graph showing the relationship between the taper angle and the total flow rate of the etching gas.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 101 ... Substrate (single crystal silicon), 102 ... Silicon dioxide layer, 103 ... Silicon nitride layer, 104a, 104b ... Groove (trench), 105a, 105b ... Side wall.

Claims (7)

シリコン単結晶に対して、窒化シリコンを含むマスク層を介して少なくとも第1の溝幅の第1の溝と前記第1の溝幅より広い第2の溝幅の第2の溝とを含む複数の溝を形成するドライエッチング方法であって、
エッチング室内に設けられた一対の対向電極のうちの一方に基板を配置し、前記対向電極の双方に高周波電力を供給してプラズマによりエッチングを行う装置を用い、前記エッチング室内に少なくともClを含むガスと、Brを含むガスの混合ガスであるエッチングガスを導入し、前記基板が配置された側の前記対向電極に印加する高周波電力を0.157〜1.57W/cm 2 の範囲で調整することにより、前記第1の溝の側壁のテーパ角と前記第2の溝の側壁のテーパ角の差をなくすように制御することを特徴とするドライエッチング方法。
A plurality of silicon single crystals including a first groove having at least a first groove width and a second groove having a second groove width wider than the first groove width through a mask layer containing silicon nitride. A dry etching method for forming a groove of
A gas containing at least Cl in the etching chamber is used by using a device in which a substrate is disposed on one of a pair of counter electrodes provided in the etching chamber and high-frequency power is supplied to both of the counter electrodes to perform etching using plasma. And an etching gas which is a mixed gas of Br containing gas, and the high frequency power applied to the counter electrode on the side where the substrate is disposed is adjusted in a range of 0.157 to 1.57 W / cm 2. Thus, the dry etching method is characterized in that the difference between the taper angle of the side wall of the first groove and the taper angle of the side wall of the second groove is eliminated.
請求項記載のドライエッチング方法において、
前記Clを含むガスは、Cl2 であることを特徴とするドライエッチング方法。
The dry etching method according to claim 1 ,
The dry etching method, wherein the gas containing Cl is Cl 2 .
請求項1又は2記載のドライエッチング方法において、
前記Brを含むガスは、HBrであることを特徴とするドライエッチング方法。
The dry etching method according to claim 1 or 2,
The dry etching method, wherein the gas containing Br is HBr.
請求項1〜いずれか1項記載のドライエッチング方法において、
前記エッチングガスが酸素を含むことを特徴とするドライエッチング方法。
The dry etching method according to any one of claims 1 to 3 ,
A dry etching method, wherein the etching gas contains oxygen.
請求項1〜いずれか1項記載のドライエッチング方法において、
前記エッチングガスの総流量を調整して、前記第1の溝の側壁のテーパ角と前記第2の溝の側壁のテーパ角の差をなくすように制御することを特徴とするドライエッチング方法。
In the dry etching method according to any one of claims 1 to 4 ,
A dry etching method characterized by controlling the total flow rate of the etching gas so as to eliminate the difference between the taper angle of the side wall of the first groove and the taper angle of the side wall of the second groove.
請求項1〜いずれか1項記載のドライエッチング方法において、
前記エッチングガスのうちのCl2 の量を調整して、前記第1の溝の側壁のテーパ角と前記第2の溝の側壁のテーパ角の差をなくすように制御することを特徴とするドライエッチング方法。
In the dry etching method according to any one of claims 1 to 5 ,
The dry gas is controlled by adjusting the amount of Cl 2 in the etching gas so as to eliminate the difference between the taper angle of the side wall of the first groove and the taper angle of the side wall of the second groove. Etching method.
請求項1〜いずれか1項記載のドライエッチング方法において、
前記第1の溝幅が0.24μmであり、前記第2の溝幅が1.00μmであることを特徴とするドライエッチング方法。
In the dry etching method according to any one of claims 1 to 6 ,
The dry etching method, wherein the first groove width is 0.24 μm and the second groove width is 1.00 μm.
JP2001189579A 2001-06-22 2001-06-22 Dry etching method Expired - Fee Related JP4854874B2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP2001189579A JP4854874B2 (en) 2001-06-22 2001-06-22 Dry etching method
US10/481,645 US7183217B2 (en) 2001-06-22 2002-06-07 Dry-etching method
CNB028124936A CN100336180C (en) 2001-06-22 2002-06-07 Dry-etching method
KR1020067004635A KR100702723B1 (en) 2001-06-22 2002-06-07 Dry-etching method
KR1020037016663A KR100595065B1 (en) 2001-06-22 2002-06-07 Dry-etching method
PCT/JP2002/005636 WO2003001577A1 (en) 2001-06-22 2002-06-07 Dry-etching method
CNB2006100826286A CN100403494C (en) 2001-06-22 2002-06-07 Dry-etching method
TW091112669A TWI364789B (en) 2001-06-22 2002-06-11
US11/392,506 US7531460B2 (en) 2001-06-22 2006-03-30 Dry-etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001189579A JP4854874B2 (en) 2001-06-22 2001-06-22 Dry etching method

Publications (2)

Publication Number Publication Date
JP2003007679A JP2003007679A (en) 2003-01-10
JP4854874B2 true JP4854874B2 (en) 2012-01-18

Family

ID=19028478

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001189579A Expired - Fee Related JP4854874B2 (en) 2001-06-22 2001-06-22 Dry etching method

Country Status (2)

Country Link
JP (1) JP4854874B2 (en)
CN (1) CN100403494C (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4722550B2 (en) * 2004-06-16 2011-07-13 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP2009259863A (en) * 2008-04-11 2009-11-05 Tokyo Electron Ltd Dry etching processing device, and dry etching method
JP2013131587A (en) 2011-12-21 2013-07-04 Hitachi High-Technologies Corp Plasma processing method
JP6393574B2 (en) * 2014-10-09 2018-09-19 東京エレクトロン株式会社 Etching method
KR20170023654A (en) * 2015-08-24 2017-03-06 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of the same
JP6643950B2 (en) * 2016-05-23 2020-02-12 東京エレクトロン株式会社 Plasma processing method

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5812347B2 (en) * 1981-02-09 1983-03-08 日本電信電話株式会社 plasma etching equipment
JPH0214548A (en) * 1988-07-01 1990-01-18 Hitachi Ltd Semiconductor device and its manufacture
JPH02260424A (en) * 1989-03-30 1990-10-23 Matsushita Electric Ind Co Ltd Dry etching
JP2995762B2 (en) * 1989-10-26 1999-12-27 ソニー株式会社 Method for manufacturing semiconductor device
JP2939783B2 (en) * 1993-09-29 1999-08-25 松下電器産業株式会社 Method for manufacturing thin film transistor
JP3694083B2 (en) * 1996-01-25 2005-09-14 三菱電機株式会社 Ruthenium film etching method
JP3883144B2 (en) * 1997-09-30 2007-02-21 富士通株式会社 Manufacturing method of semiconductor device
US6124212A (en) * 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
JPH11145113A (en) * 1997-11-13 1999-05-28 Nec Corp Etching method
JPH11243080A (en) * 1998-02-25 1999-09-07 Nec Corp Etching method of semiconductor substrate
JP3003998B2 (en) * 1998-03-18 2000-01-31 インターナショナル・ビジネス・マシーンズ・コーポレイション Dry etching method and method of manufacturing TFT using the method
JPH11288923A (en) * 1998-04-03 1999-10-19 Sony Corp Trench forming method and manufacture thereof
JP2000294626A (en) * 1999-04-07 2000-10-20 Sony Corp Manufacture of semiconductor device
JP2001035808A (en) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd Wiring and its creating method, semiconductor device having this wiring, and dry-etching method therefor
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
JP2001118829A (en) * 1999-10-19 2001-04-27 Matsushita Electronics Industry Corp Formation of metallic pattern

Also Published As

Publication number Publication date
JP2003007679A (en) 2003-01-10
CN100403494C (en) 2008-07-16
CN1855385A (en) 2006-11-01

Similar Documents

Publication Publication Date Title
JP4230029B2 (en) Plasma processing apparatus and etching method
US7531460B2 (en) Dry-etching method
JP4133810B2 (en) Dry etching method
TWI480948B (en) Methods and apparatus for selective pre-coating of a plasma processing chamber
JP3535309B2 (en) Decompression processing equipment
JP2006203035A (en) Plasma etching method
TWI533396B (en) Plasma processing apparatus
JPS63238288A (en) Dry etching method
JP3121524B2 (en) Etching equipment
US20030155078A1 (en) Plasma processing apparatus, and electrode plate, electrode supporting body, and shield ring thereof
JP2002110650A (en) Plasma etching method and plasma etching apparatus
JP4854874B2 (en) Dry etching method
JP4775834B2 (en) Etching method
JP4749683B2 (en) Etching method
JP4546667B2 (en) Dry etching method
JP3986808B2 (en) Dry etching method
US6482744B1 (en) Two step plasma etch using variable electrode spacing
JP2002319569A (en) Dry etching method
JP4615290B2 (en) Plasma etching method
JP3597721B2 (en) Etching method and method of manufacturing semiconductor device
JP2003068709A (en) Dry etching method
JP2002164329A (en) Plasma treatment apparatus
JPH08130211A (en) Etching method
TWI809496B (en) High conductance process kit
JP4583543B2 (en) Plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110125

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110323

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110621

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110810

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111025

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111026

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141104

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees