JP3121524B2 - Etching equipment - Google Patents

Etching equipment

Info

Publication number
JP3121524B2
JP3121524B2 JP07166866A JP16686695A JP3121524B2 JP 3121524 B2 JP3121524 B2 JP 3121524B2 JP 07166866 A JP07166866 A JP 07166866A JP 16686695 A JP16686695 A JP 16686695A JP 3121524 B2 JP3121524 B2 JP 3121524B2
Authority
JP
Japan
Prior art keywords
annular body
etching
plasma
etching apparatus
annular
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP07166866A
Other languages
Japanese (ja)
Other versions
JPH08335568A (en
Inventor
圭三 広瀬
公 輿石
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP07166866A priority Critical patent/JP3121524B2/en
Priority to TW086105477A priority patent/TW323387B/zh
Priority to TW085106679A priority patent/TW434745B/en
Priority to US08/659,387 priority patent/US5919332A/en
Priority to KR1019960020284A priority patent/KR100345420B1/en
Publication of JPH08335568A publication Critical patent/JPH08335568A/en
Application granted granted Critical
Publication of JP3121524B2 publication Critical patent/JP3121524B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、被処理基板、例えば半
導体ウエハの絶縁膜をエッチングするためのエッチング
装置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an etching apparatus for etching a substrate to be processed, for example, an insulating film of a semiconductor wafer.

【0002】[0002]

【従来の技術】従来から例えば半導体製造プロセスにお
いては、半導体ウエハ(以下、「ウエハ」という)など
の表面の絶縁膜をエッチングして、例えばコンタクトホ
ールを形成するための装置としてエッチング装置が使用
されているが、その中でもとりわけ処理室内の上下に電
極を配置した所謂平行平板型のエッチング装置は、均一
性に優れ、大口径ウエハの処理が可能である等の長所を
有し、また装置構成も比較的簡易であることから数多く
使用されている。
2. Description of the Related Art Conventionally, for example, in a semiconductor manufacturing process, an etching apparatus has been used as an apparatus for etching an insulating film on a surface of a semiconductor wafer (hereinafter, referred to as a "wafer") to form a contact hole. Among them, a so-called parallel plate type etching apparatus in which electrodes are arranged above and below the processing chamber has advantages such as excellent uniformity, processing of a large-diameter wafer, and the like, and also has an apparatus configuration. Many are used because they are relatively simple.

【0003】前記従来の一般的な平行平板型のエッチン
グ装置は、例えば特開昭62−69620号公報におい
ても公知なように、処理室内の上下に電極が対向して設
けられており、被処理基板であるウエハは下側の電極に
載置され、この処理室内にエッチングガスを導入すると
共に、高周波電力を前記下部電極に供給して上下電極間
にプラズマを発生させ、エッチングガスの解離によって
生じたエッチャントイオンによって、前記ウエハの絶縁
膜をエッチングするように構成されている。
[0003] The conventional general parallel plate type etching apparatus is, as well known in, for example, Japanese Patent Application Laid-Open No. 62-69620, in which electrodes are provided at upper and lower sides of a processing chamber so as to face each other. A wafer serving as a substrate is placed on a lower electrode, and an etching gas is introduced into the processing chamber, and high-frequency power is supplied to the lower electrode to generate plasma between the upper and lower electrodes, which is generated by dissociation of the etching gas. The insulating film on the wafer is etched by the etchant ions.

【0004】ところでこの種の絶縁膜のエッチング処理
加工は、半導体デバイスの高集積化に伴ってますます微
細な加工や、処理速度の向上、処理の均一性が要求され
ておりそのため電極間に発生したプラズマの密度も、よ
り高密度化させることが求められている。この点前記し
た特開昭62−69620号公報に開示されたプラズマ
処理装置においても、発生したプラズマの拡散を防止し
て電極間に集中させるため、相対向する電極の少なくと
もいずれか一方の外周部に絶縁体を配置し、この絶縁体
と他の電極あるいは他の絶縁体との間の間隔が、相対向
する電極間隔の70%以下となるように配置した狭間隔
構成体を設け、プラズマが発生する領域の拡散を阻止す
るようにしていた。
[0004] By the way, this type of insulating film etching processing requires finer processing, higher processing speed, and uniform processing with the increase in the degree of integration of semiconductor devices. It is also required to increase the density of the generated plasma. In this regard, in the plasma processing apparatus disclosed in Japanese Patent Application Laid-Open No. 62-69620, in order to prevent the generated plasma from being diffused and concentrated between the electrodes, the outer peripheral portion of at least one of the electrodes facing each other is required. And a narrowly spaced structure in which the distance between the insulator and another electrode or other insulator is 70% or less of the distance between the opposing electrodes is provided. The diffusion of the generated area is prevented.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、前記特
開昭62−69620号公報に開示された技術は、25
6k〜1M程度のDRAMの製造を目的としており、今
日のような高集積度のデバイス、例えば64MのDRA
Mの製造にはもはや適さなくとなっている。即ち、より
高速かつ微細なエッチングを実施するためには、処理室
内の圧力をより減圧してプラズマ密度を高くする必要が
あるが、前記従来技術は、0.5Torr〜3.0To
rr程度の減圧度を想定しており、その公報に開示され
た技術のみをもってしては、それより高い真空度でのプ
ラズマ領域の拡散を防止することは実際上難しく、エッ
チングレートの向上が期待できない。また当然のことな
がらこの種のエッチング処理はウエハに対して均一に行
うことが望まれるが、前記従来技術のように、単に絶縁
体を配置して、この絶縁体と他の電極あるいは他の絶縁
体との間の間隔を電極間隔よりも狭く設定しただけで
は、該絶縁体の狭間隔に近い場所では、電極の中心部よ
りもプラズマ密度が高くなってしまい、その結果被処理
基板に対するエッチング処理の均一性に影響を与えるお
それがあった。またプラズマの拡散防止を図るために、
絶縁体によって形成する間隔を単純に狭くしてしまう
と、供給されたエッチングガス等の排気までも滞ってし
まい、所期のエッチングを実施することができないおそ
れがある。
However, the technology disclosed in Japanese Patent Application Laid-Open No. 62-69620 is a
It is intended to manufacture DRAM of about 6k to 1M, and has a highly integrated device such as today, for example, a 64M DRA.
It is no longer suitable for the production of M. That is, in order to perform faster and finer etching, it is necessary to further reduce the pressure in the processing chamber to increase the plasma density. However, in the conventional technique, 0.5 Torr to 3.0 Ton is used.
It is assumed that the degree of pressure reduction is about rr, and it is practically difficult to prevent the diffusion of the plasma region at a higher degree of vacuum by using only the technique disclosed in the publication, and an improvement in the etching rate is expected. Can not. Of course, it is desired that this type of etching be performed uniformly on the wafer. However, as in the above-described conventional technique, an insulator is simply disposed, and this insulator and another electrode or another insulator are disposed. If the distance between the electrodes is set to be smaller than the distance between the electrodes, the plasma density will be higher in the place close to the narrow distance between the insulators than in the center of the electrodes. This may affect the uniformity of Also, to prevent plasma diffusion,
If the interval formed by the insulator is simply narrowed, the exhaust of the supplied etching gas or the like is delayed, and the intended etching may not be performed.

【0006】本発明はかかる点に鑑みてなされたもので
あり、64MのDRAMの製造にも対処可能な微細なエ
ッチングを高速に実施するために、処理室内の減圧度を
例えば10mTorr〜100mTorrにまで減圧し
ても、発生したプラズマを電極間に効率よく閉じこめ
て、高密度プラズマによる高いエッチングレートを実現
すると共に、より均一なエッチング処理が可能なエッチ
ング装置を提供して、前記問題の解決を図ることをその
目的とする。また本発明はエッチングガス等のガス流量
を増加させることなく所期のエッチングが行えるよう
に、プラズマの拡散防止を図るにあたって、ガスの排気
を不当に抑制させないこともその目的とするものであ
る。
The present invention has been made in view of such a point, and in order to perform fine etching at a high speed which can cope with the manufacture of a 64M DRAM, the pressure in the processing chamber is reduced to, for example, 10 mTorr to 100 mTorr. Even if the pressure is reduced, the generated plasma is efficiently confined between the electrodes to realize a high etching rate by high-density plasma, and to provide an etching apparatus capable of performing more uniform etching processing to solve the above problem. That is its purpose. Another object of the present invention is not to unduly suppress gas exhaustion in preventing plasma diffusion so that desired etching can be performed without increasing a gas flow rate of an etching gas or the like.

【0007】[0007]

【課題を解決するための手段】前記目的を達成するた
め、請求項1に記載のエッチング装置は、減圧自在な処
理室内に上部電極と下部電極を対向して有し、高周波電
力の供給によって前記上部電極と下部電極との間にプラ
ズマを発生させ、前記下部電極上の被処理基板をエッチ
ングする如く構成されたエッチング装置において、上部
電極の周辺には上側絶縁体が設けられ、下部電極の周辺
には、導電性の第1の環状体と、この第1の環状体の外
周に位置する絶縁体からなる第2の環状体が配置され、
上側絶縁体の下面に形成された環状の突出部の内周縁と
外周縁が,第2の環状体の上方に位置するように設定さ
れ,前記環状の突出部と第2の環状体との間の最も狭い
間隔が、前記上部電極と下部電極との間の間隔よりも狭
く設定されていることを特徴とする。
In order to achieve the above object, an etching apparatus according to claim 1 has an upper electrode and a lower electrode facing each other in a processing chamber capable of reducing pressure, and supplies the upper electrode and the lower electrode by supplying high frequency power. In an etching apparatus configured to generate plasma between an upper electrode and a lower electrode to etch a substrate to be processed on the lower electrode, an upper insulator is provided around the upper electrode, and a periphery of the lower electrode is provided. , A conductive first annular body and a second annular body made of an insulator located on the outer periphery of the first annular body are arranged,
With the inner peripheral edge of the annular protrusion formed on the lower surface of the upper insulator
The outer peripheral edge is set so as to be located above the second annular body.
The narrowest distance between the annular protrusion and the second annular body is set to be smaller than the distance between the upper electrode and the lower electrode.

【0008】[0008]

【0009】そして請求項のエッチング装置は、前記
請求項1のエッチング装置において,前記第1の環状体
の外側周辺部と第2の環状体の内側周辺部とは重合して
おり、前記突出部の外周縁が、前記第2の環状体の上方
位置し,前記突出部の内周縁が、前記第1の環状体の
外周辺部と第2の環状体の内周辺部との前記重合部分に
応対する位置に設定されたことを特徴とするものであ
る。前記各請求項のエッチング装置において,請求項3
のように,上部電極及び下部電極の双方に高周波電力が
供給されるように構成してもよく,また導電性の第1の
環状体は請求項4のように,シリコンからなるものを提
案できる。
[0009] The etching apparatus of claim 2, wherein
2. The etching apparatus according to claim 1, wherein an outer peripheral portion of the first annular member and an inner peripheral portion of the second annular member are overlapped, and an outer peripheral edge of the protrusion is formed of the second annular member. Upward
And the inner peripheral edge of the protrusion is set at a position corresponding to the overlapping portion between the outer peripheral portion of the first annular member and the inner peripheral portion of the second annular member. Things. The etching apparatus according to any one of claims 1 to 3, wherein
High frequency power is applied to both the upper and lower electrodes
And a conductive first
The annular body is made of silicon as claimed in claim 4.
I can plan.

【0010】[0010]

【作用】請求項1のエッチング装置においては、下部電
極の周辺に導電性の第1の環状体を配置し、さらにその
周辺に絶縁体からなる第2の環状体を配置し、この第2
の環状体と上側絶縁体の環状の突出部の内周寄りの部分
との間で、上下電極の間隔よりも狭い間隔を創出してい
るので、上下電極間で発生したプラズマは第2の環状体
前記突出部の内周寄りの部分との間でその拡散が抑制
される。そしてそのようにいわば閉じこめられた格好の
プラズマ中のイオンは、第1の環状体によって下部電極
上の被処理基板上に効果的に入射される。従って、高密
度プラズマの下で微細なエッチングが被処理基板に対し
てなされる。そして突出部の内周縁と外周縁が、第1の
環状体よりも外周に位置する第2の環状体の上方に位置
しているので、前記第1の環状体の作用効果を損ねるこ
とはなく、また前記したプラズマ閉じこめ作用に伴う被
処理基板周縁部の密度が不当に高くなることを抑えるこ
とができる。
In the etching apparatus of the first aspect, a first conductive ring is arranged around the lower electrode, and a second annular body made of an insulator is further arranged around the first ring.
Between the upper and lower electrodes and the portion of the upper insulator closer to the inner periphery of the annular protrusion , the plasma generated between the upper and lower electrodes is reduced to the second annular shape. Diffusion between the body and the portion of the protrusion near the inner periphery is suppressed. Then, the ions in the so-called confined plasma are effectively incident on the substrate to be processed on the lower electrode by the first annular body. Therefore, fine etching is performed on the substrate to be processed under high-density plasma. Since the inner peripheral edge and the outer peripheral edge of the protruding portion are located above the second annular body located on the outer periphery of the first annular body, the operation and effect of the first annular body are not impaired. In addition, it is possible to prevent the density of the peripheral portion of the substrate to be processed from being unduly increased due to the above-described plasma confining action.

【0011】また前記請求項のエッチング装置は、さ
らにガスコンダクタンスに留意した構成となっている。
即ち上下電極間よりも狭い間隔を形成する上側絶縁体の
環状の突出部の内周寄り部分の内周縁と外周縁が、第2
の環状体の上方に設定されているので、この突出部の内
周寄り部分の径方向の長さ(幅)は、第2の環状体の径
方向の長さ(幅)よりも短くなっている。従って、その
分上下電極間の空間(処理空間)からの排気の際のガス
コンダクタンスが向上し、上下電極間に供給してプラズ
マ化させるエッチングガス等の処理ガスの流量を抑制す
ることなく、プラズマを効率よく閉じこめることが可能
になる。
[0011] etching apparatus of claim 1 has a configuration further noted gas conductance.
That is, the upper insulator forming a narrower gap than the upper and lower electrodes
The inner peripheral edge and the outer peripheral edge of the portion near the inner periphery of the annular protrusion are the second
Is set above the annular body , the radial length (width) of the portion near the inner periphery of this projection is shorter than the radial length (width) of the second annular body. I have. Accordingly, the gas conductance at the time of evacuation from the space (processing space) between the upper and lower electrodes is improved by that amount, and the flow rate of the processing gas such as an etching gas supplied between the upper and lower electrodes to be turned into plasma can be suppressed without reducing the flow rate. Can be trapped efficiently.

【0012】請求項のエッチング装置では、導電性の
第1の環状体の外周辺部と絶縁体の第2の環状体の内周
辺部とが重合しているが、この重合部分は、上下電極間
の空間に比べてプラズマが希薄になっている。従って、
この部分にプラズマ閉じこめ手段を構成する上側絶縁体
における前記最も狭い間隔を形成する前記環状の突出部
分の内周縁を位置させたので、前記請求項1、2の場合
よりも、より被処理基板に近接した場所でプラズマ拡散
の防止を図ることができ、かつ被処理基板周辺のプラズ
マ密度を中心よりも高くすることはない。しかも前記突
出部の外周縁は、第2の環状体の上方に位置しているの
で、請求項2の場合と同様、ガスコンダクタンスも良好
である。
In the etching apparatus according to the second aspect, the outer peripheral portion of the conductive first annular body and the inner peripheral portion of the insulator second annular body are superposed. In the portion, the plasma is diluted as compared with the space between the upper and lower electrodes. Therefore,
Since the inner peripheral edge of the annular protrusion forming the narrowest space in the upper insulator constituting the plasma confining means is located in this portion, the inner peripheral edge is located more than in the cases of the first and second embodiments. The plasma diffusion can be prevented at a place closer to the substrate to be processed, and the plasma density around the substrate to be processed does not become higher than the center. Moreover, the protrusion
Since the outer peripheral edge of the protruding portion is located above the second annular body, the gas conductance is good as in the case of the second aspect.

【0013】なお本発明にかかるエッチング装置におい
て、いずれか一方の電極の方は相対的に高い周波数、他
の電極の方はそれより低い周波数の電力が供給される構
成とすれば、高い周波数の方でプラズマを発生、維持さ
せ、そのとき解離したイオンの被処理基板への入射速度
などを、低い方の周波数で制御することが可能になる。
従って、請求項3の場合,エッチングのコントロール
容易とする構成にすることが可能である。
In the etching apparatus according to the present invention, if one of the electrodes is supplied with power of a relatively high frequency and the other electrode is supplied with power of a lower frequency, a higher frequency power is supplied. In this case, the plasma is generated and maintained, and the incident speed of ions dissociated at that time to the substrate to be processed can be controlled at a lower frequency.
Therefore, in the case of the third aspect, it is possible to adopt a configuration that makes it easy to control the etching .

【0014】[0014]

【実施例】以下、本発明の実施例を添付図面に基づき説
明すると、図1は本実施例にかかるエッチング装置1の
断面を模式的に示しており、このエッチング装置1にお
ける処理室2は、気密に閉塞自在な酸化アルマイト処理
されたアルミニウムなどからなる円筒形状の処理容器3
内に形成され、当該処理容器3自体は接地線4を介して
接地されている。前記処理室2内の底部にはセラミック
などの絶縁支持板5が設けられており、この絶縁支持板
5の上部に、被処理基板例えば直径8インチの半導体ウ
エハ(以下、「ウエハ」という)Wを載置するための下
部電極を構成する略円柱状のサセプタ6が、上下動自在
に収容されている。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the present invention will be described below with reference to the accompanying drawings. FIG. 1 schematically shows a cross section of an etching apparatus 1 according to the present embodiment. A cylindrical processing vessel 3 made of aluminum or the like, which can be hermetically sealed and is anodized.
And the processing vessel 3 itself is grounded via a ground wire 4. An insulating support plate 5 made of ceramic or the like is provided at the bottom of the processing chamber 2, and a substrate to be processed, for example, a semiconductor wafer (hereinafter, referred to as a “wafer”) W having a diameter of 8 inches is provided on the insulating support plate 5. A susceptor 6 having a substantially cylindrical shape and constituting a lower electrode for mounting the susceptor is accommodated in a vertically movable manner.

【0015】前記サセプタ6は、前記絶縁支持板5及び
処理容器3の底部を遊貫する昇降軸7によって支持され
ており、この昇降軸7は、処理容器3外部に設置されて
いる駆動モータ8によって上下動自在となっている。従
って、この駆動モータ8の作動により、前記サセプタ6
は、図1中の往復矢印に示したように、上下動自在とな
っている。なお処理室2の気密性を確保するため、前記
サセプタ6と絶縁支持板5との間には、前記昇降軸7の
外方を囲むように伸縮自在な気密部材、例えばベローズ
9が設けられている。
The susceptor 6 is supported by an elevating shaft 7 which penetrates the insulating support plate 5 and the bottom of the processing container 3. The elevating shaft 7 is connected to a drive motor 8 installed outside the processing container 3. It can move up and down freely. Therefore, the operation of the drive motor 8 causes the susceptor 6 to move.
Are movable up and down as shown by the reciprocating arrows in FIG. In order to secure the airtightness of the processing chamber 2, an airtight member that can expand and contract, for example, a bellows 9 is provided between the susceptor 6 and the insulating support plate 5 so as to surround the outside of the elevating shaft 7. I have.

【0016】前記サセプタ6は、表面が酸化処理された
アルミニウムからなり、その内部には、温度調節手段、
例えばセラミックヒータなどの加熱手段(図示せず)
や、外部の冷媒源(図示せず)との間で冷媒を循環させ
るための冷媒循環路(図示せず)が設けられており、サ
セプタ6上のウエハWを所定温度に維持することが可能
なように構成されている。またかかる温度は、温度セン
サ(図示せず)、温度制御機構(図示せず)によって自
動的に制御される構成となっている。
The susceptor 6 is made of aluminum whose surface is oxidized, and has temperature control means therein.
Heating means such as a ceramic heater (not shown)
Also, a coolant circulation path (not shown) for circulating the coolant with an external coolant source (not shown) is provided, and the wafer W on the susceptor 6 can be maintained at a predetermined temperature. It is configured as follows. Further, the temperature is automatically controlled by a temperature sensor (not shown) and a temperature control mechanism (not shown).

【0017】また前記サセプタ6上には、ウエハWを吸
着保持するための静電チャック11が設けられている。
この静電チャック11は、図2にその詳細を示したよう
に、例えば導電性の薄膜12をポリイミド系の樹脂13
によって上下から挟持した構成を有し、処理容器3の外
部に設置されている高圧直流電源14からの電圧が前記
薄膜12に印加されると、そのクーロン力によってウエ
ハWは、静電チャック11の上面に吸着保持されるよう
になっている。もちろんそのような静電チャックに拠ら
ず、機械的クランプによってウエハWの周縁部を押圧す
るようにして、サセプタ6上にウエハWを保持する構成
としてもよい。
On the susceptor 6, an electrostatic chuck 11 for holding the wafer W by suction is provided.
As shown in detail in FIG. 2, the electrostatic chuck 11 is formed by, for example, forming a conductive thin film 12 on a polyimide resin 13.
When a voltage is applied to the thin film 12 from a high-voltage DC power supply 14 provided outside the processing chamber 3, the wafer W is held by the electrostatic chuck 11 by the Coulomb force. The upper surface is held by suction. Of course, the configuration may be such that the peripheral edge of the wafer W is pressed by a mechanical clamp and the wafer W is held on the susceptor 6 without using such an electrostatic chuck.

【0018】前記サセプタ6上の周辺には、静電チャッ
ク11を囲むようにして、第1の環状体を構成する、平
面が略環状の内側フォーカスリング21が設けられてい
る。この内側フォーカスリング21は導電性のシリコン
からなり、その内周側と外周側の上面に、夫々一段下が
った段部21a、21bが形成されており、内周側の段
部21aの上面は、前記静電チャック11の上面と面一
となるように設定され、この段部21aの上面は、静電
チャック11に保持されたウエハWの周縁部下面が載置
される。この内側フォーカスリング21は、プラズマ中
のイオンを効果的にウエハWに入射させる機能を有して
いる。
At the periphery of the susceptor 6, an inner focus ring 21 having a substantially annular plane and constituting a first annular body is provided so as to surround the electrostatic chuck 11. The inner focus ring 21 is made of conductive silicon, and has stepped portions 21a and 21b which are respectively lowered by one step on the inner peripheral side and the outer peripheral side upper surface. The upper surface of the inner peripheral side stepped portion 21a is The upper surface of the step 21a is set so as to be flush with the upper surface of the electrostatic chuck 11, and the lower surface of the peripheral portion of the wafer W held by the electrostatic chuck 11 is placed thereon. The inner focus ring 21 has a function of effectively causing ions in the plasma to be incident on the wafer W.

【0019】前記内側フォーカスリング21の外周に
は、平面が略環状の外側フォーカスリング22が設けら
れている。この外側フォーカスリング22は絶縁性の石
英からなり、その内周部22aは、前記内側フォーカス
リング21の段部21bの上に載置されるようにして設
けられている。従って、内側フォーカスリング21の外
周辺と外側フォーカスリング22の内周辺とは、前記各
段部21bと内周部22aとの部分で重合している。な
お内側フォーカスリング21の中央部21cと、この外
側フォーカスリング22の上面とは面一になるように設
定されている。また外側フォーカスリング22の外周上
縁部22bは、外側に凸の湾曲形状に成形され、ガスが
澱まず円滑に排出されるようになっている。この外側フ
ォーカスリング22は、後述のシールドリング42と共
に、プラズマの拡散防止機能を有している。
An outer focus ring 22 having a substantially annular flat surface is provided on the outer periphery of the inner focus ring 21. The outer focus ring 22 is made of insulating quartz, and its inner peripheral portion 22a is provided so as to be mounted on the step portion 21b of the inner focus ring 21. Therefore, the outer periphery of the inner focus ring 21 and the inner periphery of the outer focus ring 22 are overlapped at the portions of the step portions 21b and the inner peripheral portion 22a. The central portion 21c of the inner focus ring 21 and the upper surface of the outer focus ring 22 are set to be flush. Further, an outer peripheral upper edge portion 22b of the outer focus ring 22 is formed in a curved shape convex outward, so that gas is smoothly discharged without stagnant. The outer focus ring 22 has a plasma diffusion preventing function together with a shield ring 42 described later.

【0020】前出サセプタ6の周囲には、図2に示した
ように、石英の絶縁リング23、フッ素系樹脂の絶縁リ
ング24を介して絶縁性のバッフル板25が配され、さ
らにこのバッフル板25の内周部は、石英の支持体26
に対してボルト等の手段によって固定されている。従っ
て、サセプタ6の上下動に伴ってこのバッフル板25も
上下動する構成となっている。このバッフル板25には
多数の透孔25aが形成されており、ガスを均一に排出
する機能を有している。
As shown in FIG. 2, an insulating baffle plate 25 is disposed around the susceptor 6 via a quartz insulating ring 23 and a fluororesin insulating ring 24. 25 is a quartz support 26
Is fixed by means such as bolts. Accordingly, the baffle plate 25 also moves up and down as the susceptor 6 moves up and down. The baffle plate 25 has a large number of through holes 25a, and has a function of uniformly discharging gas.

【0021】前出処理室2内の上部には、処理容器3と
は絶縁部材31を介して、エッチングガスやその他のガ
スを処理室2内に導入するための拡散部材32が設けら
れている。この拡散部材32は、図2にも示したよう
に、平板部32aを平行に上下複数段に配した中空構造
を有しており、さらに各平板部32aには、多数の拡散
孔33が形成されている。この拡散部材32の中央には
ガス導入口34が設けられ、さらにバルブ35、36、
流量調節のためのマスフローコントローラ37を介し
て、処理ガス供給源38からのエッチングガス、例えば
CF4ガスが、前記導入口34、拡散部材32の拡散孔
33を通じて処理室2内に導入されるようになってい
る。
A diffusion member 32 for introducing an etching gas or other gas into the processing chamber 2 is provided above the processing chamber 2 via an insulating member 31 with respect to the processing chamber 3. . As shown in FIG. 2, the diffusion member 32 has a hollow structure in which flat portions 32a are arranged in a plurality of upper and lower stages in parallel, and a large number of diffusion holes 33 are formed in each flat portion 32a. Have been. A gas inlet 34 is provided at the center of the diffusion member 32, and valves 35, 36,
An etching gas, for example, CF 4 gas from a processing gas supply source 38 is introduced into the processing chamber 2 through the introduction port 34 and the diffusion hole 33 of the diffusion member 32 via a mass flow controller 37 for adjusting a flow rate. It has become.

【0022】前記拡散部材32の下方には、前出サセプ
タ6と対向するように、上部電極41が、前記絶縁部材
31によって支持されている。この上部電極41は導電
性のシリコンからなり、また前記拡散部材32によって
導入されたガスを、サセプタ6上のウエハWに対して均
一に吐出させるための多数の吐出口41aを有してい
る。そして上側絶縁体を構成する石英からなるシールド
リング42は、その上側内周が、前記絶縁部材31に固
着されるようにして、上部電極41の周辺に配置されて
いる。
Below the diffusion member 32, an upper electrode 41 is supported by the insulating member 31 so as to face the susceptor 6. The upper electrode 41 is made of conductive silicon, and has a large number of discharge ports 41 a for uniformly discharging the gas introduced by the diffusion member 32 to the wafer W on the susceptor 6. The shield ring 42 made of quartz constituting the upper insulator is arranged around the upper electrode 41 such that the inner periphery of the upper side is fixed to the insulating member 31.

【0023】このシールドリング42は、環状の突出部
43を内周寄り下面に形成しており、当該突出部43の
内周縁43aは、前出内側フォーカスリング21の外周
辺と外側フォーカスリング22の内周辺とが重合した部
分に応対する位置、即ち内側フォーカスリング21の段
部21bと、外側フォーカスリング22内周部22aと
が重なった部分の上方に位置するように設定されてい
る。そしてこの突出部43下面と外側フォーカスリング
22の内周部22aとの間の距離は、前出サセプタ6の
上面、即ち静電チャック11の上面と上部電極41の下
面との間のギャップよりも短く設定されている。なおこ
のシールドリング42における各角部は、すべて外側に
凸に湾曲した形状に成形されており、ガスが澱まず円滑
に排出されるようになっている。
The shield ring 42 has an annular projection 43 formed on the lower surface near the inner periphery. The inner periphery 43 a of the projection 43 is formed on the outer periphery of the inner focus ring 21 and the outer focus ring 22. It is set so as to be located at a position corresponding to a portion where the inner periphery overlaps, that is, above a portion where the step portion 21b of the inner focus ring 21 and the inner peripheral portion 22a of the outer focus ring 22 overlap. The distance between the lower surface of the protrusion 43 and the inner peripheral portion 22a of the outer focus ring 22 is larger than the gap between the upper surface of the susceptor 6, that is, the upper surface of the electrostatic chuck 11 and the lower surface of the upper electrode 41. It is set short. The corners of the shield ring 42 are all formed in a shape curved outwardly convex, so that the gas is smoothly discharged without stagnant.

【0024】処理容器3の下部には、真空ポンプなどの
真空引き手段51に通ずる排気管52が接続されてお
り、サセプタ6の周囲に配置された前出バッフル板25
を介して、処理室2内は、10mTorr〜100mT
orr内の任意の減圧度にまで真空引きすることが可能
となっている。
An exhaust pipe 52 is connected to the lower part of the processing vessel 3 and communicates with a vacuuming means 51 such as a vacuum pump. The exhaust pipe 52 is disposed around the susceptor 6.
, The inside of the processing chamber 2 is 10 mTorr to 100 mT
It is possible to evacuate to an arbitrary degree of reduced pressure in orr.

【0025】次にこのエッチング装置1の高周波電力の
供給系について説明すると、まず下部電極となるサセプ
タ6に対しては、周波数が数百kHz程度、例えば80
0kHzの高周波電力を出力する高周波電源53からの
電力が、整合器54を介して供給される構成となってい
る。一方上部電極41に対しては、整合器55を介し
て、周波数が前記高周波電源53よりも高い1MHz以
上の周波数、例えば27.12MHzの高周波電力を出
力する高周波電源56からの電力が供給される構成とな
っている。
Next, a high-frequency power supply system of the etching apparatus 1 will be described.
Power from a high frequency power supply 53 that outputs high frequency power of 0 kHz is supplied via a matching unit 54. On the other hand, to the upper electrode 41, power is supplied from a high frequency power supply 56 that outputs a high frequency power of 1 MHz or higher, for example, 27.12 MHz, which is higher than the high frequency power supply 53, via a matching unit 55. It has a configuration.

【0026】前記処理容器3の側部には、ゲートバルブ
61を介してロードロック室62が隣接している。この
ロードロック室62内には、被処理基板であるウエハW
を処理容器3内の処理室2との間で搬送するための、搬
送アームなどの搬送手段63が設けられている。
A load lock chamber 62 is adjacent to the side of the processing container 3 via a gate valve 61. In the load lock chamber 62, a wafer W as a substrate to be processed is provided.
A transfer means 63 such as a transfer arm is provided for transferring the wafers to and from the processing chamber 2 in the processing container 3.

【0027】本実施例にかかるエッチング装置1の主要
部は以上のように構成されており、例えばシリコンのウ
エハWの酸化膜(SiO2)に対してエッチング処理す
る場合の作用等について説明すると、まずゲートバルブ
61が開放された後、搬送手段63によってウエハWが
処理室2内に搬入される。このとき駆動モータ8の作動
により、サセプタ6は下降してウエハW受け取りの待機
状態になっている。そして搬送手段63によってウエハ
Wが静電チャック11上に載置された後、搬送手段63
は待避してゲートバルブ61は閉鎖され、また駆動モー
タ8の作動によってサセプタ6は所定の処理位置まで上
昇する。
The main part of the etching apparatus 1 according to the present embodiment is configured as described above. For example, the operation and the like in the case of performing an etching process on an oxide film (SiO 2 ) of a silicon wafer W will be described. First, after the gate valve 61 is opened, the transfer means 63 loads the wafer W into the processing chamber 2. At this time, the susceptor 6 is lowered by the operation of the drive motor 8, and is in a standby state for receiving the wafer W. After the wafer W is placed on the electrostatic chuck 11 by the transfer means 63, the transfer means 63
The gate valve 61 is closed, and the susceptor 6 is raised to a predetermined processing position by the operation of the drive motor 8.

【0028】次いで処理室2内が、真空引き手段51に
よって減圧されていき、所定の減圧度になった後、処理
ガス供給源38からCF4ガスが供給され、処理室2の
圧力が、例えば10mTorrに設定、維持される。
Next, the inside of the processing chamber 2 is depressurized by the evacuation means 51, and after reaching a predetermined depressurization degree, a CF 4 gas is supplied from the processing gas supply source 38, and the pressure of the processing chamber 2 becomes, for example, It is set and maintained at 10 mTorr.

【0029】そして上部電極41に対して高周波電源5
6から周波数が27.12MHzの高周波電力が供給さ
れると、上部電極21とサセプタ6との間にプラズマが
生起される。またこれより僅かに遅れて(1秒以下のタ
イミング遅れ)をもって、サセプタ6に対して高周波電
源54から周波数が800kHzの高周波電力が供給さ
れる。そのようにサセプタ6に対してタイミングを遅ら
せて高周波電力を供給させることにより、過大な電圧に
よってウエハWがダメージを受けることを防止できる。
そして発生したプラズマによって処理室2内のCF4
スが解離し、その際に生ずるフッ素ラジカルが、サセプ
タ6側に印加されたバイアス電圧によってその入射速度
がコントロールされつつ、ウエハW表面のシリコン酸化
膜(SiO2)をエッチングしていく。
The high frequency power supply 5 is connected to the upper electrode 41.
When a high frequency power having a frequency of 27.12 MHz is supplied from 6, plasma is generated between the upper electrode 21 and the susceptor 6. Also, with a slight delay (timing delay of one second or less), the high frequency power having a frequency of 800 kHz is supplied from the high frequency power supply 54 to the susceptor 6. By causing the susceptor 6 to supply high-frequency power at a delayed timing in this manner, it is possible to prevent the wafer W from being damaged by an excessive voltage.
Then, the CF 4 gas in the processing chamber 2 is dissociated by the generated plasma, and the fluorine radicals generated at that time are converted into a silicon oxide film on the surface of the wafer W while the incident speed is controlled by the bias voltage applied to the susceptor 6 side. (SiO 2 ) is etched.

【0030】この場合サセプタ6には、ウエハWを取り
囲むように配置された内側フォーカスリング21の外周
に外側フォーカスリング22が設けられ、該外側フォー
カスリング22の上方には、上部電極41の周辺に配置
されたシールドリング42の突出部43が位置して、両
者で静電チャック11の上面と上部電極41の下面との
間よりも短いギャップを構成しているので、サセプタ6
と上部電極41との間に発生したプラズマの拡散は抑え
られ、該プラズマの密度は高くなっている。もちろん処
理室2内の圧力が、10mTorrという高い真空度で
あっても、プラズマの拡散を効果的に抑制することがで
きる。従って、64MDRAMの高集積度の半導体デバ
イスのエッチング処理にも対処可能であり、エッチング
レートも高いものになる。またウエハWの周囲には、内
側フォーカスリング21が配置されているので、エッチ
ャントイオンであるフッ素ラジカルは効率よくウエハW
に入射し、ウエハW表面のシリコン酸化膜(SiO2
のエッチングレートは、一層高くなっている。
In this case, the susceptor 6 is provided with an outer focus ring 22 on the outer periphery of an inner focus ring 21 arranged so as to surround the wafer W, and above the outer focus ring 22, around the upper electrode 41. Since the projection 43 of the shield ring 42 is located and the gap therebetween is shorter than the gap between the upper surface of the electrostatic chuck 11 and the lower surface of the upper electrode 41, the susceptor 6
The diffusion of the plasma generated between the electrode and the upper electrode 41 is suppressed, and the density of the plasma is increased. Of course, even if the pressure in the processing chamber 2 is as high as 10 mTorr, the diffusion of plasma can be effectively suppressed. Therefore, it is possible to cope with the etching process of a highly integrated semiconductor device of 64M DRAM, and the etching rate becomes high. Further, since the inner focus ring 21 is disposed around the wafer W, fluorine radicals as etchant ions can be efficiently removed from the wafer W.
And a silicon oxide film (SiO 2 ) on the surface of the wafer W
Has an even higher etching rate.

【0031】ところでプラズマを閉じこめようとする突
出部43の内周近傍では、プラズマ密度が高くなろうと
する傾向があるが、前記突出部43の内周縁43aは、
前記内側フォーカスリング21の外周辺と外側フォーカ
スリング22の内周辺とが重合した部分に応対する位
置、即ち内側フォーカスリング21の段部21bと、外
側フォーカスリング22内周部22aとが重なった部分
の上方に位置している。そしてこの重合部分は、導電体
である内側フォーカスリング21の上に、絶縁体である
外側フォーカスリング22が薄く重なった構成であるか
ら、バイアスは多少抜ける条件となっており、そのため
この重合部分のプラズマはウエハWの中心部よりも元々
希薄になっている。従って、突出部43の内周近傍での
プラズマ密度は中心部とさほど差がない密度に維持さ
れ、その結果、ウエハWの周辺部のプラズマ密度は、中
心部と殆ど変わらない密度となっている。それゆえウエ
ハWに対するエッチングの均一性は良好である。
By the way, there is a tendency that the plasma density tends to increase in the vicinity of the inner periphery of the protruding portion 43 in which the plasma is to be confined.
A position corresponding to a portion where the outer periphery of the inner focus ring 21 and the inner periphery of the outer focus ring 22 overlap, that is, a portion where the step portion 21b of the inner focus ring 21 and the inner peripheral portion 22a of the outer focus ring 22 overlap. It is located above. The overlapped portion has a configuration in which the outer focus ring 22 as an insulator is thinly overlapped on the inner focus ring 21 as a conductor, so that the bias is slightly released. The plasma is originally thinner than the center of the wafer W. Therefore, the plasma density in the vicinity of the inner periphery of the protruding portion 43 is maintained at a density that is not so different from that of the central portion. As a result, the plasma density of the peripheral portion of the wafer W is almost the same as that of the central portion. . Therefore, the uniformity of the etching on the wafer W is good.

【0032】エッチングに用いたCF4ガスは、前記し
たシールドリング42と外側フォーカスリング22との
間から、バッフル板25を通じて排気管52から処理室
2外部へと排出されるが、前記したようにプラズマ拡散
を抑制するため、シールドリング42の下面には突出部
43が形成され、それによって流路もまた狭隘なものと
なっている。従って、その分ガスコンダクタンスが低下
することになるが、本実施では、当該突出部43の外周
縁43bが、外側フォーカスリング22の外周縁よりも
大幅に内側に位置しており、突出部43の厚みは極めて
薄く設定されている。そのため結局のところ、シールド
リング42と外側フォーカスリング22との間のガスコ
ンダクタンスは、さほど低下せず、円滑なガスの排気が
実現されている。従って、従来のこの種のエッチング装
置と比べても、エッチングガスの流量を特に上げる必要
はない。
The CF 4 gas used for etching is discharged from the space between the shield ring 42 and the outer focus ring 22 to the outside of the processing chamber 2 from the exhaust pipe 52 through the baffle plate 25 as described above. In order to suppress plasma diffusion, a protrusion 43 is formed on the lower surface of the shield ring 42, whereby the flow path is also narrowed. Accordingly, the gas conductance is reduced accordingly, but in the present embodiment, the outer peripheral edge 43b of the projecting portion 43 is located significantly inside the outer peripheral edge of the outer focus ring 22, and The thickness is set extremely thin. Therefore, after all, the gas conductance between the shield ring 42 and the outer focus ring 22 does not decrease so much, and smooth gas exhaust is realized. Therefore, there is no need to particularly increase the flow rate of the etching gas as compared with a conventional etching apparatus of this type.

【0033】次に発明者らが実施例にかかるエッチング
装置1を用いて実際にエッチングした際のデータに基づ
いて、突出部43の長さとエッチレートの関係について
説明すると、図3に示したように、ウエハW上面と上部
電極41下面のギャップGを15mmに設定し、外側フォ
ーカスリング22上面と突出部43下面の最小ギャップ
MGを変えて8インチのウエハWをエッチングしたとこ
ろ、図4の表に示したよう結果が得られた。なおこのと
きのエッチング条件は次の通りである。上部電極41に
供給した高周波電力は周波数27.12MHzで2k
W、サセプタ6に供給した高周波電力は周波数800k
HzでVpp(プラズマ電位)が1.5kVであり、ま
たエッチングガスは、C48/CO/Ar/O2=15
/15/255/6(SCCM)の混合ガス、流量で供
給した。
Next, the relationship between the length of the projecting portion 43 and the etch rate will be described based on data obtained when the inventors actually performed etching using the etching apparatus 1 according to the embodiment, as shown in FIG. Then, the gap G between the upper surface of the wafer W and the lower surface of the upper electrode 41 was set to 15 mm, and the minimum gap MG between the upper surface of the outer focus ring 22 and the lower surface of the protrusion 43 was changed to etch the 8-inch wafer W. The results were obtained as shown in FIG. The etching conditions at this time are as follows. The high frequency power supplied to the upper electrode 41 is 2k at a frequency of 27.12 MHz.
W, the high frequency power supplied to the susceptor 6 is 800 k
Vpp (plasma potential) at 1.5 Hz is 1.5 kV, and the etching gas is C 4 F 8 / CO / Ar / O 2 = 15.
The mixed gas was supplied at a flow rate of / 15/255/6 (SCCM).

【0034】図4の表に示した結果によれば、最小ギャ
ップMGが小さいほどエッチレートが高いことがわか
る。しかしながら、最小ギャップMGを6mmよりさらに
小さくしてしまうと、今度は均一性が大きく低下してし
まうことも確認できた。従って、均一性をも考慮する
と、最小ギャップMGの好ましい範囲は、6〜10mm、
とりわけ8mm前後が実際上は好適である。
According to the results shown in the table of FIG. 4, the smaller the minimum gap MG, the higher the etch rate. However, it was also confirmed that if the minimum gap MG was made smaller than 6 mm, the uniformity would be greatly reduced. Therefore, in consideration of uniformity, the preferable range of the minimum gap MG is 6 to 10 mm,
In particular, about 8 mm is practically preferable.

【0035】次に突出部43とガスコンダクタンスとの
関係について説明すると、図3に示したように、突出部
43の厚さをD、突出部43の内周から外側フォーカス
リング22の外周までの長さをLとしたとき、ウエハW
上面と上部電極41下面のギャップGを25mm、最小ギ
ャップMG=8mm、L=25mmに設定し、処理室2の内
圧を45mTorrに設定した場合、ガスコンダクタン
スは、D=10mmのときには468(l/s)で、D=
3mmのときには625(l/s)となった。従前のタイ
プ、即ち本実施例のように突出部43を形成せず、特開
昭62−69620号公報に開示されたように、下面が
フラットな絶縁体によってプラズマ閉じこめ用の狭間隔
を形成した場合、仮にその径方向の長さ(Dに相当す
る)を25mmとすれば、そのときのガスコンダクタンス
は312であった。従ってプラズマ拡散を抑制する場
合、本実施例のようにシールドリング42にDの短い突
出部43を形成し、この突出部43によってプラズマを
閉じこめるようにした方が、ガスコンダクタンスが良好
であることが確認できた。
Next, the relationship between the protrusion 43 and the gas conductance will be described. As shown in FIG. 3, the thickness of the protrusion 43 is D, and the distance from the inner periphery of the protrusion 43 to the outer periphery of the outer focus ring 22 is as shown in FIG. When the length is L, the wafer W
When the gap G between the upper surface and the lower surface of the upper electrode 41 is set to 25 mm, the minimum gap MG = 8 mm, L = 25 mm, and the internal pressure of the processing chamber 2 is set to 45 mTorr, the gas conductance becomes 468 (l / l) when D = 10 mm. s) and D =
When it was 3 mm, it was 625 (l / s). The conventional type, that is, the protrusion 43 was not formed as in the present embodiment, but a narrow space for confining the plasma was formed by an insulator having a flat lower surface as disclosed in Japanese Patent Application Laid-Open No. 62-69620. In this case, if the length in the radial direction (corresponding to D) was 25 mm, the gas conductance at that time was 312. Therefore, when suppressing the plasma diffusion, the gas conductance may be better if the protrusion 43 having a short D is formed on the shield ring 42 and the plasma is confined by the protrusion 43 as in the present embodiment. It could be confirmed.

【0036】なお前記実施例における、シールドリング
42の突出部43は、その内外側が、垂直に成形された
形状であったが、これに代えて例えば図5に示したよう
な形状を持った突出部44を有するシールドリング45
を取り付けてもよい。この突出部44は、その内周側は
垂直に成形されているが、外周側はテーパ状に成形され
ている。このシールドリング45によれば、前記シール
ドリング42の場合よりもさらに突出部44の外側にガ
スが澱むことはなく、デポ等が付着しにくいものとなっ
ている。
In the above-described embodiment, the projecting portion 43 of the shield ring 42 has a shape in which the inside and outside are formed vertically, but instead the projecting portion 43 has a shape as shown in FIG. Shield ring 45 having portion 44
May be attached. The protruding portion 44 is vertically formed on the inner peripheral side, but is tapered on the outer peripheral side. According to the shield ring 45, the gas does not stagnate outside the protruding portion 44 as compared with the case of the shield ring 42, and a deposit or the like is less likely to adhere.

【0037】さらに外側シールドリングについても、前
記実施例のようにその外周を垂直に成形せず、図5に示
したように、テーパ状に成形された外側シールドリング
46を用いてもよい。この場合には、前記実施例の場合
よりも、バッフル板25とのおりなす角度が鈍角になっ
ているので、ガスが澱みにくくデポ等が付着しづらいも
のとなっている。従って、図5に示したシールドリング
45や外側シールドリング46を用いれば、処理室2内
の汚染防止に寄与する。
Further, as for the outer shield ring, a tapered outer shield ring 46 may be used as shown in FIG. In this case, the angle formed between the baffle plate 25 and the baffle plate 25 is an obtuse angle as compared with the case of the above-described embodiment, so that the gas hardly stagnates and deposits and the like hardly adhere. Therefore, the use of the shield ring 45 and the outer shield ring 46 shown in FIG.

【0038】なお前記した実施例は、シリコンの半導体
ウエハ表面のシリコン酸化膜(SiO2)をエッチング
するプロセスを実施する装置として構成されていたが、
これに限らず、本発明は各種の絶縁膜、例えばシリコン
窒化膜(SiN)、TEOS酸化膜、BPSG膜のエッ
チングプロセスを実施する装置としてももちろん構成で
きる。
Although the above-described embodiment is configured as an apparatus for performing a process of etching a silicon oxide film (SiO 2 ) on the surface of a silicon semiconductor wafer,
The present invention is not limited to this, and the present invention can of course be configured as an apparatus for performing an etching process of various insulating films, for example, a silicon nitride film (SiN), a TEOS oxide film, and a BPSG film.

【0039】[0039]

【発明の効果】請求項1〜のエッチング装置によれ
ば、被処理基板の周辺部のプラズマ密度を、中心部より
不当に高くすることなく、プラズマの拡散を防止するこ
とでがきる。従って、高いプラズマ密度の下で微細かつ
均一で高速なエッチングを被処理基板に施すことが可能
である。さらに本発明のエッチング装置では、そのよう
にプラズマの拡散防止を図りつつもガスコンダクタンス
は良好であり、エッチングガス等の処理ガスの流量を抑
制することなく、プラズマを効率よく閉じこめることが
可能になる。そして請求項のエッチング装置では、前
記請求項の場合よりも、より被処理基板に近接した場
所でプラズマ拡散の防止を図ることができるので、さら
に高いプラズマ密度の下で被処理基板に対してエッチン
グすることができる。
According to the etching apparatus of the first to fourth aspects, it is possible to prevent the diffusion of plasma without unduly increasing the plasma density in the peripheral portion of the substrate to be processed than in the central portion. Therefore, fine, uniform, and high-speed etching can be performed on a substrate to be processed under a high plasma density. Further, in the etching apparatus of the present invention, the gas conductance is good while preventing the diffusion of the plasma as described above, and the plasma can be efficiently confined without suppressing the flow rate of the processing gas such as the etching gas. . In the etching apparatus according to the second aspect , plasma diffusion can be prevented at a place closer to the substrate to be processed than in the case of the first aspect. Can be etched.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施例にかかるエッチング装置の断面
説明図である。
FIG. 1 is an explanatory sectional view of an etching apparatus according to an embodiment of the present invention.

【図2】図1のエッチング装置におけるシールドリング
と外側フォーカスリングとの位置関係を示す図1の要部
拡大説明図である。
FIG. 2 is an enlarged explanatory view of a main part of FIG. 1 showing a positional relationship between a shield ring and an outer focus ring in the etching apparatus of FIG. 1;

【図3】図1のエッチング装置におけるシールドリング
と外側フォーカスリングとの距離関係を示す説明図であ
る。
FIG. 3 is an explanatory diagram showing a distance relationship between a shield ring and an outer focus ring in the etching apparatus of FIG. 1;

【図4】図1のエッチング装置を用いてエッチングした
ときのシールドリングの突出部と外側フォーカスリング
間のギャップとエッチレートの関係を示す図表である。
FIG. 4 is a table showing a relationship between a gap between a projection of a shield ring and an outer focus ring and an etching rate when etching is performed using the etching apparatus of FIG. 1;

【図5】本発明に用いることができるシールドリングと
外側フォーカスリングの他の形状の例を示す説明図であ
る。
FIG. 5 is an explanatory view showing another example of a shape of a shield ring and an outer focus ring that can be used in the present invention.

【符号の説明】[Explanation of symbols]

1 エッチング装置 2 処理室 3 処理容器 6 サセプタ 21 内側フォーカスリング 22 外側フォーカスリング 41 上部電極 42 シールドリング 43 突出部 52 排気管 53、55 高周波電源 W ウエハ DESCRIPTION OF SYMBOLS 1 Etching apparatus 2 Processing chamber 3 Processing container 6 Susceptor 21 Inner focus ring 22 Outer focus ring 41 Upper electrode 42 Shield ring 43 Projection 52 Exhaust pipe 53, 55 High frequency power supply W Wafer

───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平5−114584(JP,A) 特開 平3−291928(JP,A) 特開 昭62−69620(JP,A) 特開 昭60−126832(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 C23F 4/00 ────────────────────────────────────────────────── ─── Continuation of the front page (56) References JP-A-5-114584 (JP, A) JP-A-3-291928 (JP, A) JP-A-62-269620 (JP, A) JP-A-60-1985 126832 (JP, A) (58) Field surveyed (Int. Cl. 7 , DB name) H01L 21/3065 C23F 4/00

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 減圧自在な処理室内に上部電極と下部電
極を対向して有し、高周波電力の供給によって前記上部
電極と下部電極との間にプラズマを発生させ、前記下部
電極上の被処理基板をエッチングする如く構成されたエ
ッチング装置において、 上部電極の周辺には上側絶縁体が設けられ、 下部電極の周辺には、導電性の第1の環状体と、この第
1の環状体の外周に位置する絶縁体からなる第2の環状
体が配置され、 上側絶縁体の下面に形成された環状の突出部の内周縁と
外周縁が,第2の環状体の上方に位置するように設定さ
れ, 前記環状の突出部と 第2の環状体との間の最も狭い間隔
が、前記上部電極と下部電極との間の間隔よりも狭く設
定されていることを特徴とする、エッチング装置。
An upper electrode and a lower electrode are opposed to each other in a processing chamber which can be freely depressurized, and plasma is generated between the upper electrode and the lower electrode by supplying high-frequency power, so that a processing target on the lower electrode is generated. In an etching apparatus configured to etch a substrate, an upper insulator is provided around an upper electrode, and a conductive first annular body and an outer periphery of the first annular body are provided around a lower electrode. And a second annular body made of an insulator located at an inner peripheral edge of an annular protrusion formed on a lower surface of the upper insulator.
The outer peripheral edge is set so as to be located above the second annular body.
An etching apparatus, wherein a narrowest distance between the annular protrusion and the second annular body is set smaller than a distance between the upper electrode and the lower electrode.
【請求項2】 前記第1の環状体の外側周辺部と第2の
環状体の内側周辺とは重合しており、 前記突出部の外周縁が、前記第2の環状体の上方に位置
し, 前記突出部の内周縁が、前記第1の環状体の外周辺部と
第2の環状体の内周辺部との前記重合部分 に応対する位
置に設定されたことを特徴とする、請求項1に記載の
ッチング装置。
2. An outer peripheral portion of the first annular body and a second annular member.
The inner periphery of the annular body is superimposed, and the outer peripheral edge of the protrusion is located above the second annular body.
And, the inner periphery of the protrusion, the outer peripheral portion of said first annular member
The etching apparatus according to claim 1, wherein the etching apparatus is set at a position corresponding to the overlapping portion with the inner peripheral portion of the second annular body .
【請求項3】 上部電極及び下部電極の双方に高周波電
力が供給されることを特徴とする,請求項1又は2のい
ずれかに記載のエッチング装置。
3. The high-frequency electric power is applied to both the upper electrode and the lower electrode.
3. The method according to claim 1, wherein power is supplied.
An etching apparatus according to any of the preceding claims.
【請求項4】 導電性の第1の環状体はシリコンからな
ることを特徴とする,請求項1,2又は3のいずれかに
記載のエッチング装置。
4. The conductive first annular member is made of silicon.
A method according to any one of claims 1, 2, or 3, wherein
An etching apparatus as described in the above.
JP07166866A 1995-06-07 1995-06-07 Etching equipment Expired - Lifetime JP3121524B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP07166866A JP3121524B2 (en) 1995-06-07 1995-06-07 Etching equipment
TW086105477A TW323387B (en) 1995-06-07 1996-06-04
TW085106679A TW434745B (en) 1995-06-07 1996-06-04 Plasma processing apparatus
US08/659,387 US5919332A (en) 1995-06-07 1996-06-06 Plasma processing apparatus
KR1019960020284A KR100345420B1 (en) 1995-06-07 1996-06-07 Plasma Treatment Equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP07166866A JP3121524B2 (en) 1995-06-07 1995-06-07 Etching equipment

Publications (2)

Publication Number Publication Date
JPH08335568A JPH08335568A (en) 1996-12-17
JP3121524B2 true JP3121524B2 (en) 2001-01-09

Family

ID=15839094

Family Applications (1)

Application Number Title Priority Date Filing Date
JP07166866A Expired - Lifetime JP3121524B2 (en) 1995-06-07 1995-06-07 Etching equipment

Country Status (1)

Country Link
JP (1) JP3121524B2 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100497879B1 (en) * 1997-01-23 2005-09-08 동경 엘렉트론 주식회사 Plasma treatment apparatus
US6506687B1 (en) 1998-06-24 2003-01-14 Hitachi, Ltd. Dry etching device and method of producing semiconductor devices
KR100292410B1 (en) * 1998-09-23 2001-06-01 윤종용 Process chamber for reducing particulate contamination for manufacturing semiconductor device
JP2001057359A (en) 1999-08-17 2001-02-27 Tokyo Electron Ltd Plasma processing device
TW465013B (en) * 1999-12-22 2001-11-21 Tokyo Electron Ltd Plasma processing apparatus for liquid crystal display substrate
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
JP2002110652A (en) * 2000-10-03 2002-04-12 Rohm Co Ltd Plasma treatment method and its device
KR100408604B1 (en) * 2000-12-07 2003-12-06 주식회사제4기한국 Accuracy cleaning and surface modification method and thereof apparatus by using discharge plasama in atmosphere
JP3393118B2 (en) * 2000-12-21 2003-04-07 株式会社半導体先端テクノロジーズ Plasma etching apparatus and method of manufacturing semiconductor device
KR20020095324A (en) * 2001-06-14 2002-12-26 삼성전자 주식회사 semiconductor device fabricating equipment using radio frequency energy
WO2005055298A1 (en) * 2003-12-03 2005-06-16 Tokyo Electron Limited Plasma processing apparatus and multi-chamber system
KR100665991B1 (en) * 2004-11-15 2007-01-10 삼성전자주식회사 Plasma Etching Equipment
JP2006303309A (en) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp Plasma treatment apparatus
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
JP2008219048A (en) * 2008-06-12 2008-09-18 Kawasaki Microelectronics Kk Quartz jig and plasma processing equipment
JP5591585B2 (en) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 Plasma processing equipment
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
TWI830183B (en) * 2011-10-05 2024-01-21 美商應用材料股份有限公司 Plasma processing apparatus comprising symmetric plasma process chamber and lid assembly for the same
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
JP2021097065A (en) * 2019-12-13 2021-06-24 東京エレクトロン株式会社 Ring assembly, board support, and board processing device

Also Published As

Publication number Publication date
JPH08335568A (en) 1996-12-17

Similar Documents

Publication Publication Date Title
JP3121524B2 (en) Etching equipment
JP4470970B2 (en) Plasma processing equipment
US6849154B2 (en) Plasma etching apparatus
JP3192370B2 (en) Plasma processing equipment
JP4547182B2 (en) Plasma processing equipment
JP5759718B2 (en) Plasma processing equipment
JP5492578B2 (en) Plasma processing equipment
JP3535309B2 (en) Decompression processing equipment
US20070227666A1 (en) Plasma processing apparatus
JPH09129612A (en) Etching gas and etching method
JP7320874B2 (en) SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
JPH09283459A (en) Substrate processing method and apparatus
JP2004342703A (en) Device and method for plasma treatment
JP2879887B2 (en) Plasma processing method
KR20210121581A (en) Substrate processing apparatus and substrate processing method
JP3808902B2 (en) Plasma etching method
JP3113796B2 (en) Plasma processing equipment
US8034213B2 (en) Plasma processing apparatus and plasma processing method
JP2004095909A (en) Method and device for plasma treatment
TW202109603A (en) Plasma processing apparatus and plasma processing method
JP4123428B2 (en) Etching method
JP2869384B2 (en) Plasma processing method
JP3222859B2 (en) Plasma processing equipment
JP2006310883A (en) Plasma processing apparatus and cleaning method thereof
JP4754609B2 (en) Processing apparatus and cleaning method thereof

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20001003

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091020

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121020

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121020

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151020

Year of fee payment: 15

EXPY Cancellation because of completion of term