JP4781994B2 - 信号波形解析装置 - Google Patents

信号波形解析装置 Download PDF

Info

Publication number
JP4781994B2
JP4781994B2 JP2006356326A JP2006356326A JP4781994B2 JP 4781994 B2 JP4781994 B2 JP 4781994B2 JP 2006356326 A JP2006356326 A JP 2006356326A JP 2006356326 A JP2006356326 A JP 2006356326A JP 4781994 B2 JP4781994 B2 JP 4781994B2
Authority
JP
Japan
Prior art keywords
extraction
signal
condition
waveform
result
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006356326A
Other languages
English (en)
Other versions
JP2008165617A (ja
Inventor
達也 君島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP2006356326A priority Critical patent/JP4781994B2/ja
Priority to US11/806,646 priority patent/US7725276B2/en
Publication of JP2008165617A publication Critical patent/JP2008165617A/ja
Application granted granted Critical
Publication of JP4781994B2 publication Critical patent/JP4781994B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

Description

この発明は、電子回路設計における検証技術に関し、特に電子回路を構成する構成要素の信号レベルの時間変化を記録した波形情報に対して観測したい現象の信号レベルを抽出して解析する信号波形解析装置に関するものである。
図9は従来の電子回路設計の検証方法の一例を示す模式図である。この検証方法は、最も簡単なものの一つであり、まず、検証対象の回路をモデル化してシミュレーション・モデル103を作成する。このシミュレーション・モデル103は単体では検証できないので、テストパターン102を与えるためのテストベンチ104を作成し、そこにシミュレーション・モデル103を組み込む。組み込んだシミュレーション・モデル103にテストパターン102を加えてシミュレーションを実行する。シミュレーションを実行する多くのシミュレーターには、シミュレーション実行時におけるシミュレーション・モデルの内部配線の信号レベルを記録する機能を備えており、その機能によりシミュレーション実行時の波形情報101を取得することができる。波形情報101には、それぞれのシミュレーション時刻における信号レベルの値が記録されている。以上はシミュレーションの場合であるが、実機を作製してロジックアナライザー等の計測器を使って波形情報101を得ることもできる。設計者は、シミュレーション或いは計測器により得られた波形情報101を専用の表示装置を使って信号波形として画面に表示してデバッグを行う。
図10は従来の電子回路設計の検証方法の他の例を示す模式図である。この検証方法
は、図9に示すものより高度な検証手法であり、テストベンチ204の中に、結果ダンプ手段203が組み込まれている。このテストベンチ204にテストパターン202を加えてシミュレーションを行うと、結果ダンプ手段203が働いてシミュレーションにより得られる情報のうち必要とするものがダンプ結果205に出力される。このとき必要に応じてテストパターン202には結果ダンプ手段204が働くように結果ダンプステップ201を組み込んでおく。そして、このダンプ結果205とあらかじめ用意しておいた期待値206と比較手段207で比較することによりシミュレーション・モデル103が期待通りの動作をしたか否かの確認をする。これにより、観測したい信号を波形として画面に表示して目視により確認する必要が無くなり検証を効率的に行うことができる。なお、検証対象であるシミュレーション・モデル103は図9に示す従来技術のものと同一である。
また、特許文献1には、波形情報に対する期待値を用意しておき、この期待値と得られた波形情報とをコンペアルールにしたがって比較し、その一致及び不一致によって表示方法を変えることにより波形の違いを容易に認識できるようにする方法が開示されている。
さらに、特許文献2には、波形情報と、この波形情報の期待値とを比較して異なる部分のみを表示することによって、期待値と一致しているか否かを短時間に確認できるようにする方法が開示されている。
さらにまた、特許文献3には、シミュレーション結果の期待値をプログラムで生成して比較する方法が開示されている。
特開平6−58968号公報 特開平5−266121号公報 特開平9−16652号公報
しかしながら、上記の方法は、それぞれ以下に示すような欠点がある。すなわち、図9に示した方法においては、得られた波形情報を目視で確認しなくてはならず作業効率が悪いという課題がある。また、目視で行うということは不具合の見逃し等の人為的ミスが混入する恐れもある。
図10に示した方法においては、テストベンチに結果ダンプ手段を組み込むという作業が必要であり、場合によってはテストパターンにも結果ダンプステップを組み込む必要がある。更に、調べたい内容が後から見つかった場合、結果ダンプ手段や結果ダンプステップを追加し、再度シミュレーションを実行しなくてはならない。一般にシミュレーションには時間がかかるためシミュレーションをやり直すと、開発工期が増大してしまうという課題がある。
特許文献1及び特許文献2による方法においては、検証する信号の全シミュレーション時間に対する期待値が必要であり、この期待値の作成に時間を要する事が課題となっている。また、波形情報には要求機能に影響する期間とそうでない期間があり、当然のことながら要求機能に影響しない期間の動作は検証する必要がない。そのため、この期間を識別して期待値を作成することが困難であるという課題がある。特許文献3による方法においては、期待値をプログラムで生成しているが、同様に要求機能に影響しない期間の期待値の作成が課題となっている。
この本発明では上記のような課題を解消するためになされたもので、従来人間の目視能力の限界により制限されていた作業効率を向上すると共に人間が介在することにより生じるミスの削減、及び、結果ダンプ手段や結果ダンプステップを作成する工数を削減することができ、更に、作成したテストパターンが意図するテストを行っているかを、波形情報からシミュレーションの後に、客観的に確かめることを可能とする信号波形解析装置を提供することを目的とする。
この発明に係る信号波形解析装置は、対象電子回路を構成する構成要素の信号レベルの時間変化を記録した波形情報に対して、観測したい現象の抽出条件により、この抽出条件が成立したときの波形情報の信号レベルを抽出結果として出力する抽出部を有することを特徴とする。
この発明に係る信号波形解析装置によれば、抽出部は抽出条件が成立したときの波形情報の信号レベルを抽出結果として出力して、判定部は抽出結果が予め設定した範囲に入っているか否かを判定するので、従来人間の目視能力の限界により制限されていた作業効率を向上すると共に人間が介在することにより生じるミスの削減することができ、また、結果ダンプ手段や結果ダンプステップを作成する工数を削減することができる。
以下、本発明にかかる信号波形解析装置の実施の形態を図面に基づいて詳細に説明する。なお、この実施の形態によりこの発明が限定されるものではない。
実施の形態
図1はこの発明に係る信号波形解析装置の実施の形態をデータの流れとともに示す機能ブロック図である。信号波形解析装置301は、機能的に分かれた抽出部302、集計部303、及び判定部304を有している。
抽出部302は信号情報305と抽出条件306にしたがって波形情報101の解析を行って抽出結果310を出力する。集計部303は集計方法指定情報307にしたがって、この抽出結果310を集計して集計結果311を出力する。判定部304は集計結果311が集計期待値308の所定の範囲内か否かを判定して判定結果309を出力する。
波形情報101は、電子回路を構成する配線や素子等の構成要素の信号レベルの時間変化を記録した情報である。信号情報305は、抽出条件306で指定する信号が、波形情報に記録されるどの信号に対応するのかを記述したものである。抽出条件306は、条件の要素となる信号の要素と、成立する場合の条件を、論理式と信号の立ち上がりや立ち下りなどのイベントで記述したものである。抽出部302は、波形情報101の最初の時刻から検索して、抽出条件306で記述した条件が成立する時刻を探す。条件が成立した場合には、抽出条件306に記述した、記録信号情報にしたがって、該当する信号の信号レベルを調べ、抽出結果310に波形情報上の時刻と、成立した抽出条件306の種類、記録信号の信号レベルを抽出結果310に記録する。このとき、抽出条件306には抽出条件を複数記述することができ、それぞれの抽出条件は同時並行的に調べられ、成立したものを抽出結果310に記録する。
この抽出結果310は、この時点で信号波形解析装置301から取り出して確認することもできる。このとき、抽出条件306に記載されている複数の抽出条件から、必要な抽出条件の結果のみを選択して表示することにより、抽出結果310の確認を容易にすることができる。
続いて、集計部303は集計方法指定情報307にしたがって、得られた抽出結果310を集計する。集計方法指定情報307には、抽出条件306で指定した抽出条件が成立した回数や、成立した場合に記録された記録信号の値、また、この値の度数分布や値をグルーピングしたグループの度数分布、時刻や値の一覧等を指定する。集計部303はこれらの指定にしたがって集計した結果を集計結果311に出力するのである。
最後に、判定部304は集計期待値308に記述した集計結果の期待値の範囲にしたがって集計結果311を調べて判定結果309を出力するが、このとき、集計期待値308には集計結果の種類と集計結果の範囲が記述されており、該当する集計結果の種類に対して集計結果が、その範囲内であったか否かを判定して出力する。集計期待値308には集計結果の種類を複数記述することができ、そのそれぞれについて判定するとともに、すべての判定が集計期待値308に記述した期待値の範囲内であったか否かを出力する。
このように本実施の形態の信号波形解析装置301は、対象電子回路の出力する対象信号の中から目的とする信号を指し示す信号情報305と、観測したい現象を抽出するために波形の特徴及び条件が記載された抽出条件306とを用いて、電子回路を構成する配線上の信号レベルの時間変化を記録した波形情報101を、波形情報上の時刻の早い時刻より順次解析して、抽出条件306が成立した時の波形情報上の時刻、抽出条件の種類、対象信号の信号レベルを抽出結果310として順次出力する。そして、記録された観測時刻と抽出条件の種類、対象信号の信号レベルとを集計する方法を指定する集計方法指定情報307を用いて、この抽出結果310を集計する。更に、この集計結果311が予め期待した範囲に入っているかどうかを判定する。
このような動作をする本実施の形態の信号波形解析装置301においては、波形情報101を目視で確認しなくても必要な確認が行えることから作業効率を大幅に向上させることが可能となる。また、検証の過程は、信号波形解析装置301により自動的に実行されるため、確認過程での人為的なミスの混入を避けることができる。さらに、従来のように結果ダンプ手段をテストベンチに組み込んだり、結果ダンプステップをテストパターンに組み込んだりする必要がない。さらにまた、検証は、波形情報101に対して行われるので確認項目を増やしてもシミュレーションをやり直す必要がない。また、波形情報101の期待値を作成する必要が無く、そのため、波形情報101の期待値に対しては要求機能に影響しない期間の考慮もする必要が無い。
なお、抽出部302、集計部303、及び判定部304を、それぞれシミュレーションのモデルとしてモデル化し、これらのモデルに対して波形情報101をシミュレーションの入力信号パターンとして与えてもよい。つまり、本実施の形態信号波形解析装置301においては、コンピュータプログラムによるソフトウェアにて上述の構成を実現しているが、同じ構成をハードウェア(電子回路)にて実現してもよい。この場合、検証対象の回路(シミュレーション・モデル103)と同様にシミュレーション・モデルとして、既存の論理シミュレーションの環境に構築することにより、新規ソフトウェアの開発を削減することができる。なお、このようにして、シミュレーション・モデルとして構築した場合には、信号情報305、抽出条件306、および抽出結果310をモデルの中に含めることも可能となる。
また、抽出条件が成立したときの波形情報101の信号レベルを変数に記憶しておき、他の抽出条件において、この変数を条件として使うようにしてもよい。
以下、本発明の実施例について図面を用いて詳細に説明する。
図2は信号情報305の一例を示したものである。信号情報305は、この例ではテキストファイルで記述されており、各行が1つの信号の対応を示している。行の最後はセミコロンで終わる。1行目はclkという信号は波形情報に記録されている信号のtopモジュールにあるclock_inという信号を示している。“.”ドットはモジュール階層の区切りを示す。2行目のsig_aという信号は、波形情報に記録されている信号のtopモジュールの中にあるモジュールmodにあるaという信号を示している。モジュール階層が深くなれば更にドットでモジュールを連結して示すことができる。以下同様に各行は信号の対応を示している。
図3は抽出条件306の一例を示したものである。この例の抽出条件306はverilogライクに記載されており、clkの立ち上がりエッジの時刻で、もし信号aが“1”ならば、抽出条件の種類“c1”として信号sig_aの値を記録する。ここで示した信号は図4に示した信号情報にしたがって波形情報の信号に結び付けられている。また、例では条件の演算や変数は使っていないが、信号値や抽出条件はそれぞれ論理演算や算術演算を行うことができる。また、抽出条件の記述の中に変数を定義して、ある抽出条件が成立したときに信号や定数値を演算して、この変数に値を代入して記憶しておくことができる。記憶した値は新たに代入が行われるまで保持される。
また、この例においては、抽出条件が成立した時刻の記録信号の値を記録するが、抽出条件に記録信号を読み出す時刻を求める算式を記述しておくことにより、抽出条件が成立した時刻ではなく、異なる時刻の値を調べて記録することもできる。なお、この際には抽出条件が成立した時刻より後の時刻でもよい。
図4は抽出部302が信号情報305及び抽出条件306に基づいて、波形情報101から抽出した抽出結果310の例である。波形情報101は信号レベルの時間変化を記録したものである。この代表的なものとしてVCD(Value Change Dump)フォーマットがある。抽出部302は波形情報の最初の時刻から信号を調べ、抽出条件で示した条件が成立したときに、その時刻と、抽出条件の種類、記録信号の値を記録する。図4の例では、1行目が、時刻100の時に、抽出条件c1が成立して、記録信号の値は"1"だったということである。以下同様に記録されている。
図5は集計方法指定情報307の一例を示したものである。1行目はprintというインストラクションであり、単に集計結果として、ダブルコーテーションで囲われた文字列を出力する。2行目はcountというインストラクションであり、抽出条件の種類c1が成立して、記録信号の値が“0”だった場合の回数を出力するものである。countキーワードの後のダブルコーテーションで囲われた文字列はそのまま出力される。3行目は同様に記録信号の値が“1”だった場合の回数を出力するものである。4行目は記録信号の値に関わらず当該信号の出力した回数を出力するものである。
図6は集計結果311の一例を示したものである。集計部303が図5で示した集計方法指定情報307にしたがって図4の抽出結果310を集計したものである。1行目は集計方法指定情報の例の1行目に記述されたダブルコーテーションで囲われた文字列がそのまま出力されている。2行目は抽出条件の種類c1が成立して、記録信号の値が“0”だった場合の回数が集計されている。以下同様に集計方法指定情報で記述したとおりに集計された結果を出力する。この例では、記録信号の値が固有の値を指定したケースを示したが、記録信号の値に対して範囲や値のリストを指定することも可能である。
図7は集計期待値308の一例を示したものである。1行目は“e_c1_0”という名前の判定条件で、集計結果からダブルコーテーションで囲われた文字列“c1 as 0: $1”を探し、見つかったら$1が1〜10の範囲かどうか判定する。なお、$1は任意の値であり、集計結果の値の場所を示している。以下同様に記載されている。
図8は判定結果309の一例を示したものである。判定部304が図6で示した集計結果311を図7に示す集計期待値308にしたがって判定したものである。1行目は集計期待値に記述したすべての判定条件に合致しなかったのでFAIL!と出力される。2行目は入力した集計期待値308に記述された判定条件の数を出力する。3行目は条件が成立した判定条件の数を出力する。続いて5行目からは判定条件に合致しなかった判定条件のリストを出力しており、判定条件の名前、集計結果、判定条件の内容を出力している。
この発明は、電子回路設計における検証に適用されて好適なものであり、特に人間の目視能力の限界によらずミスなくかつ作業効率の向上を図りたい場合の電子回路設計の検証に適用されて最適なものである。
この発明に係る信号波形解析装置の実施の形態をデータの流れとともに示す機能ブロック図である。 信号情報の一例を示した図である。 抽出条件の一例を示した図である。 抽出部が信号情報及び抽出条件に基づいて、波形情報から抽出した抽出結果の図である。 集計方法指定情報の一例を示した図である。 集計結果の一例を示した図である。 集計期待値の一例を示した図である。 判定結果の一例を示した図である。 従来の電子回路設計の検証方法の一例を示す模式図である。 従来の電子回路設計の検証方法の他の例を示す模式図である。
符号の説明
301 信号波形解析装置
302 抽出部
303 集計部
304 判定部
305 信号情報
306 抽出条件
307 集計方法指定情報
308 集計期待値
309 判定結果
310 抽出結果
311 集計結果

Claims (8)

  1. 対象電子回路を構成する構成要素の信号レベルの時間変化を記録した波形情報に対して、指定する信号の立ち上がりや立ち下がりのタイミングや信号値を使った論理式の成立条件を抽出条件として、当該抽出条件が成立したときの前記波形情報の信号レベルを抽出結果として出力する抽出部と、
    所定の集計条件により前記抽出結果を集計する集計部と、
    前記集計部によって集計された集計結果に対して、予め設定した範囲に入っているか否かを判定する判定部とを、備えた
    ことを特徴とする信号波形解析装置。
  2. 前記集計部は、観測時刻、抽出条件、波形情報の信号レベルを前記集計結果として集計する
    ことを特徴とする請求項に記載の信号波形解析装置。
  3. 前記判定部は、予め用意した集計期待値と前記集計結果とを比較して、前記集計結果が前記集計期待値の範囲内か否かを判定する
    ことを特徴とする請求項に記載の信号波形解析装置。
  4. 前記抽出部、前記集計部、及び前記判定部の全て、又は一部を、それぞれシミュレーションモデルとして記述し、これら記述されたシミュレーションモデルに対して前記波形情報をシミュレーションの入力信号パターンとして与える
    ことを特徴とする請求項1からのいずれか1項に記載の信号波形解析装置。
  5. 抽出条件が成立したときの前記波形情報の信号レベルを記憶する変数を持ち、他の抽出条件において、前記変数を条件として使うことができる
    ことを特徴とする請求項1からのいずれか1項に記載の信号波形解析装置。
  6. 前記抽出部は、前記抽出条件が成立したときの前記波形情報の信号レベルを抽出結果として出力する
    ことを特徴とする請求項1からのいずれか1項に記載の信号波形解析装置。
  7. 前記抽出部は、前記抽出条件が成立したときから所定の時間経過したときの前記波形情報の信号レベルを抽出結果として出力する
    ことを特徴とする請求項1からのいずれか1項に記載の信号波形解析装置。
  8. 前記抽出部は、前記抽出条件が成立したときの前記波形情報の信号レベルと、前記抽出条件が成立したときから所定の時間経過したときの前記波形情報の信号レベルとを抽出結果として出力する
    ことを特徴とする請求項1からのいずれか1項に記載の信号波形解析装置。
JP2006356326A 2006-12-28 2006-12-28 信号波形解析装置 Expired - Fee Related JP4781994B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006356326A JP4781994B2 (ja) 2006-12-28 2006-12-28 信号波形解析装置
US11/806,646 US7725276B2 (en) 2006-12-28 2007-06-01 Signal waveform analyzing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006356326A JP4781994B2 (ja) 2006-12-28 2006-12-28 信号波形解析装置

Publications (2)

Publication Number Publication Date
JP2008165617A JP2008165617A (ja) 2008-07-17
JP4781994B2 true JP4781994B2 (ja) 2011-09-28

Family

ID=39585175

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006356326A Expired - Fee Related JP4781994B2 (ja) 2006-12-28 2006-12-28 信号波形解析装置

Country Status (2)

Country Link
US (1) US7725276B2 (ja)
JP (1) JP4781994B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8893065B2 (en) * 2012-07-11 2014-11-18 Mentor Graphics Corporation Biometric markers in a debugging environment

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4559602A (en) * 1983-01-27 1985-12-17 Bates Jr John K Signal processing and synthesizing method and apparatus
US4755889A (en) * 1983-04-19 1988-07-05 Compusonics Video Corporation Audio and video digital recording and playback system
US5092343A (en) * 1988-02-17 1992-03-03 Wayne State University Waveform analysis apparatus and method using neural network techniques
JPH0281162A (ja) * 1988-09-17 1990-03-22 Fujitsu Ltd 論理シミュレーションにおける表示方式
JPH03116276A (ja) * 1989-09-29 1991-05-17 Ricoh Co Ltd 論理シミュレーションの波形データ処理方法
JPH0476774A (ja) * 1990-07-18 1992-03-11 Mitsubishi Electric Corp 信号変化検出装置
JPH04190459A (ja) * 1990-11-26 1992-07-08 Mitsubishi Electric Corp シミュレーション装置
JPH05266121A (ja) * 1992-03-18 1993-10-15 Mitsubishi Electric Corp 論理シミュレーション結果比較表示装置
JPH0658968A (ja) * 1992-08-11 1994-03-04 Mitsubishi Electric Corp 波形エディタ
JP2923397B2 (ja) * 1992-09-18 1999-07-26 株式会社ピーエフユー 論理シミュレーション結果の表示方法
JP3119960B2 (ja) * 1993-03-17 2000-12-25 富士通株式会社 論理シミュレーションシステム
JPH0896010A (ja) * 1994-09-20 1996-04-12 Ricoh Co Ltd 論理回路シミュレーション結果表示装置
JPH0916652A (ja) * 1995-06-30 1997-01-17 Hitachi Ltd 回路シミュレ−ション結果解析方法および装置
JPH11110430A (ja) * 1997-10-03 1999-04-23 Hitachi Ltd 論理シミュレーションにおける波形情報表示方式
JP2002157297A (ja) * 2001-08-31 2002-05-31 Fujitsu Ltd 論理シミュレーションシステムおよび入出力制御装置
JP3848157B2 (ja) * 2001-12-27 2006-11-22 株式会社東芝 Lsi設計検証装置、lsi設計検証方法、及びlsi設計検証プログラム
JP2003316840A (ja) * 2002-04-26 2003-11-07 Fujitsu Ltd 論理回路設計方法及びプログラム
WO2006020759A2 (en) * 2004-08-09 2006-02-23 Wavecrest Corporation Improved waveforms analyzer

Also Published As

Publication number Publication date
JP2008165617A (ja) 2008-07-17
US7725276B2 (en) 2010-05-25
US20080162096A1 (en) 2008-07-03

Similar Documents

Publication Publication Date Title
US7559045B2 (en) Database-aided circuit design system and method therefor
JP2006244073A (ja) 半導体設計装置
US8037436B2 (en) Circuit verification apparatus, a method of circuit verification and circuit verification program
US6564365B1 (en) Method of simultaneously displaying schematic and timing data
EP1794693A2 (en) Feature failure correlation
US5907698A (en) Method and apparatus for characterizing static and dynamic operation of an architectural system
US8522182B2 (en) Generation of an end point report for a timing simulation of an integrated circuit
US7424690B2 (en) Interconnect integrity verification
US7577928B2 (en) Verification of an extracted timing model file
US10635846B2 (en) Timing error analysis method and information processing apparatus
US7389482B2 (en) Method and apparatus for analyzing post-layout timing violations
US20070192753A1 (en) Technique for generating input stimulus to cover properties not covered in random simulation
US20200074040A1 (en) Hierarchical expression coverage clustering for design verification
US10592623B2 (en) Assertion statement check and debug
JP4781994B2 (ja) 信号波形解析装置
US7239996B2 (en) Causality based event driven timing analysis engine
Foster et al. Assertions targeting a diverse set of verification tools
Safarpour et al. Failure triage: The neglected debugging problem
US7454680B2 (en) Method, system and computer program product for improving efficiency in generating high-level coverage data for a circuit-testing scheme
Abel et al. Development and verification of complex hybrid systems using synthesizable monitors
JP2891004B2 (ja) 論理icのタイミングチェック方式
JP2002259488A (ja) クロックスキュー検証方法
Jiang et al. Effective error diagnosis for RTL designs in HDLs
JP3171236B2 (ja) 入力端子競合パターン検出システム
JP3212157B2 (ja) 論理回路検証装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090625

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110401

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110705

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110706

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140715

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees