JP4662718B2 - 集積回路用途用の低金属多孔質シリカ誘電体 - Google Patents

集積回路用途用の低金属多孔質シリカ誘電体 Download PDF

Info

Publication number
JP4662718B2
JP4662718B2 JP2003585171A JP2003585171A JP4662718B2 JP 4662718 B2 JP4662718 B2 JP 4662718B2 JP 2003585171 A JP2003585171 A JP 2003585171A JP 2003585171 A JP2003585171 A JP 2003585171A JP 4662718 B2 JP4662718 B2 JP 4662718B2
Authority
JP
Japan
Prior art keywords
film
composition
porogen
silicon
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003585171A
Other languages
English (en)
Other versions
JP2005522878A (ja
Inventor
ラング,ロジャー・ワイ
デン,エリック
シエ,ソンユアン
ルー,ビクター・ワイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of JP2005522878A publication Critical patent/JP2005522878A/ja
Application granted granted Critical
Publication of JP4662718B2 publication Critical patent/JP4662718B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J9/00Working-up of macromolecular substances to porous or cellular articles or materials; After-treatment thereof
    • C08J9/26Working-up of macromolecular substances to porous or cellular articles or materials; After-treatment thereof by elimination of a solid phase from a macromolecular composition or article, e.g. leaching out
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2201/00Foams characterised by the foaming process
    • C08J2201/04Foams characterised by the foaming process characterised by the elimination of a liquid or solid component, e.g. precipitation, leaching out, evaporation
    • C08J2201/046Elimination of a polymeric phase
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2383/04Polysiloxanes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

発明の詳細な説明
発明の背景
発明の分野
本発明は、ナノポーラスシリカ誘電体フィルムの製造並びに、これらの改良フィルムを含む半導体デバイス及び集積回路に関する。本発明のナノポーラスフィルムは、ケイ素含有プレポリマーを使用して製造し、且つ金属イオンを含まない(metal-ion-free)オニウムまたは求核性触媒により、低いゲル温度で架橋できるプロセスによって製造する。
関連する技術についての記載
集積回路の将来的なサイズは0.51μm未満に縮小されるため、内部連絡RCディレイ、電力消費及びシグナルクロストークに関する問題は益々解決が困難になってきている。インターレベル誘電体(interlevel dielectric:ILD)及びインターメタル誘電体(intermetal dielectric:IMD)用途用の低誘電率材料の集積化がこれらの問題の解決に役立つと考えられている。低誘電率材料を集積回路に適用すべくこれまで試みられてきたが、集積回路の製造で使用するそのような材料の誘電特性及び機械的特性の両方の最適化及び製造方法のさらなる改善に関しては、当業界において長年にわたる需要が依然としてある。
低誘電率をもつ材料の一つのタイプとしては、スピン-オン・ゾル-ゲル法(spin-on sol-gel technique)によってケイ素含有プレポリマーから製造したナノポーラスシリカフィルムがある。空気の誘電率は1であり、空気がナノメートルスケールの細孔構造をもつ適当なシリカ材料に導入されると、そのようなフィルムは、比較的低誘電率(k)で製造することができる。ナノポーラスシリカ材料は魅力的である。というのも、テトラアセトキシシラン(TAS)/メチルトリアセトキシシラン(MTAS)-誘導ケイ素ポリマーなどの有機-置換シラン類を含む同様の前駆体はベースマトリックスとして使用され、且つ現在使用されているスピン-オンガラス(spin-on-glass:S.O.G.)及びSiO2の化学蒸着(CVD)に使用されるからである。そのような材料は、弾性率及びスタッド・プル・データ(stud pull data)により表される高機械的強度を示した。機械的特性は、多孔質フィルムの孔径分布を制御することによって最適化することができる。孔径を制御することができ、従って得られたフィルム材料の密度、機械的強度及び誘電率も制御することが可能なので、ナノポーラスシリカ材料は魅力的である。低kに加えて、ナノポーラスシリカは、900℃までの熱安定性;実質的、すなわち、集積回路のマイクロエレクトロニクス特徴よりも少なくともワンオーダー小さい孔径;半導体で広く使用されるシリカ及びテトラエトキシシラン(TEOS)などの材料からの製造;広範囲にわたってナノポーラスシリカの誘電率を「調整」し得る能力;及び慣用のS.O.G.加工で使用されるのと同様のツールを使用してナノポーラスフィルムの蒸着を実施できるという他の好都合な点を提案する。
かくして、シリカ材料の多孔率によって、非孔質形の同一材料から利用可能なものよりも低誘電率とすることができる。もう一つの追加の好都合な点は、材料の相対密度(比重)を変動させつつ、追加の組成物及びプロセスを使用してナノポーラスフィルムを製造することができるということである。他の材料の要求条件としては、回路の将来的なサイズよりも全ての細孔が実質的に小さい必要性、多孔率に付随する強度低下をうまく処理する必要性、並びに誘電率の表面化学の役割及び環境的安定性が挙げられる。
密度(または逆に、多孔率)は、材料の誘電率を制御するナノポーラスフィルムの重要なパラメーターであり、この特性は、100%の多孔率における極端なエアギャップから0%の多孔率をもつ密なシリカまで連続して容易に変えられる。密度が高くなるに連れて、誘電率と機械的強度は増加するが、多孔率は低下し、そして密度が低くなるに連れて、誘電率と機械的強度が低下するが、多孔率は上昇する。このことは、ナノポーラスフィルムの密度範囲は、所望の範囲の低誘電率と、所望の用途に許容可能な機械的特性との間で最適に釣り合いをとらなければならないことを示唆している。
ナノポーラスシリカフィルムは、多くの方法により既に製造されている。たとえば、ナノポーラスフィルムは、溶媒とシリカ前駆体との混合物を使用して製造し、これを目的に関して適当な基板に蒸着させる。通常、たとえばスピン-オンガラス組成物の形態の前駆体を基板に適用し、次いでナノメートルスケールの空隙を含む誘電体フィルムを形成するような方法で重合させる。
そのようなナノポーラスフィルムをスピンコーティングなどによって形成するとき、フィルムコーティングは通常、酸または塩基触媒と水で触媒作用を受けて、初期加熱段階の間に重合/ゲル化(エージング:aging)を起こす。孔径を選択することによって最大強度を得るには、低分子量ポロゲンを使用する。
米国特許第5,895,263号は、分解可能なポリマー及び、有機ポリシリカ、すなわち縮合または重合ケイ素ポリマーを含む組成物を適用し、この組成物を加熱してさらにポリシリカを縮合し、次いで分解可能なポリマーを分解して多孔質誘電体層を形成することによって、ウエハなどの基板上にナノポーラスシリカ誘電体フィルムを形成することについて記載する。このプロセスには、半導体でナノポーラスフィルムを形成する従来使用されてきた多くの方法と同様に、エージングまたは縮合プロセス、及びポリマーを除去してナノポーラスフィルムを形成するためにいずれも加熱が必要という欠点がある。さらに、前駆体溶液に含まれる有機ポリシリカは、溶液を製造した後に分子量が上昇する傾向があり;従ってそのような前駆体溶液の粘度は貯蔵の間に上昇し、貯蔵溶液から製造したフィルムの厚さは、溶液の貯蔵期間が長くなるに連れて厚くなる。かくして、有機ポリシリカの不安定な性質は、マイクロエレクトロニクス/集積回路製造プロセスにおいて一貫したフィルム特性を得るために、短い貯蔵期間、低温貯蔵及びコーティングパラメーターの微調整が必要である。
安定な多孔質構造の形成は、ポロゲン除去温度がマトリックス材料の架橋温度(またはゲル温度)よりも高いという条件に依存する。10nm未満の孔径の安定なナノポーラス構造体は、ナトリウムなどのアルカリカチオンの濃度がスピン-オン溶液中で200〜300ppbレベル未満のときには製造できないことが知見された。しかしながら、低金属濃度の厳しい要求条件は、IC用途に関して満たさなければならない。一般的なプラクティスでは、スピン-オン溶液中で50ppb未満の金属濃度である。従って、2.5未満の誘電率と直径約10nm未満の孔径を常に与え得る低金属ナノポーラスシリカフィルムを開発する必要性がある。現在、オニウムイオンまたは求核性試薬を使用することによって、低金属スピン-オン配合物中、低温で多孔質シリカ網状構造の形成を促進し得ることが知見されている。オニウムイオンまたは求核性試薬の作用は、ゲル化温度を下げ、ポロゲンを除去する前に硬質網状構造を配置し、望ましくないアルカリイオンの存在を必要とせずに、ナノポーラスフィルムを製造することである。
発明の概要
本発明は、ナノポーラスシリカ誘電体フィルムの製造法であって、
(a)珪素含有プレポリマー、ポロゲン並びに、オニウム化合物及び求核性試薬からなる群から選択される金属イオンを含まない触媒を含む組成物を製造する;
(b)前記組成物で基板をコーティングしてフィルムを形成する;
(c)前記組成物を架橋させて、ゲル化フィルムを製造する、次いで;
(d)前記ポロゲンの実質的に全てを除去するのに効果的な温度及び時間、前記ゲル化フィルムを加熱する、各段階を含む前記方法を提供する。
本発明は、ケイ素含有プレポリマー、ポロゲン並びに、オニウム化合物及び求核性試薬からなる群から選択される触媒を含む組成物も提供する。
本発明はさらに、オニウムイオンまたは求核性シリカをケイ素含有プレポリマーとポロゲンとに添加する段階を含む、多孔質シリカフィルムが形成する温度を下げる方法を提供する。
好ましい態様の詳細な説明
従って、約3以下の誘電率、すなわちk値を有するナノポーラスシリカ誘電体フィルムは、本発明の方法により製造することができる。通常、ナノポーラスシリカ誘電体フィルムなどのケイ素-ベースの誘電体フィルムは、オニウム化合物または求核性試薬であってもよい金属イオンを含まない触媒及びポロゲンとブレンドした、適当なケイ素含有プレポリマーを含む組成物から製造する。一種以上の追加の溶媒及び/または他の成分も配合することができる。この誘電体前駆体組成物を、フィルムを形成する当業界で公知の任意の方法により、集積回路(IC)などのたとえば半導体デバイスの製造のために、適当な基板に適用する。次いでこの組成物を、たとえば加熱によって架橋させて、ゲル化フィルムを形成する。次いで、このゲル化フィルムを高温で加熱して、実質的に全てのポロゲンを除去する。
本発明のプロセスにより製造したフィルムは、製造したフィルムが、処理済み基板上に半導体デバイスを製造するのに必要なさらなる加工段階に耐えられる優れた機械的強度及び、低く且つ安定な誘電率などの、従来公知のものよりも多くの好都合な点を有する。安定な誘電率の特性は、ナノポーラスシリカ誘電体フィルムを形成する多くのプロセスによってかつて必要とされたように、フィルム表面を疎水性とするためにさらなる表面変性段階の必要なく、都合良く得られる。むしろ、本発明のプロセスにより製造したままのシリカ誘電体フィルムは、最初に形成したままで十分に疎水性である。
さらに好都合なことには、本発明のプロセスは、適用したプレポリマー組成物の初期重合(すなわち、ゲル化またはエージング)用に比較的低温を必要とする。本発明のプロセスは、サイズ分布も均一である、ナノメートルスケールの直径の孔径を提供した。得られたナノポーラスシリカフィルムは通常、約3以下、より通常には約1.3〜約3.0、最も通常には約1.7〜約2.5の範囲の誘電率を有する。フィルムは通常、約1nm〜約30nm、より好ましくは約1nm〜約10nm、通常約1nm〜約5nmの範囲の平均孔径を有する。フィルムは通常、フィルムの全容積をベースとして約5%〜約80%の空隙容積をもつ。
「ナノポーラス誘電体フィルム:nanoporous dielectric film」なる用語は、全ての適当なシリコンベースの材料などの有機または無機ガラスベース材料から本発明の方法により製造した誘電体フィルムを指すものと理解すべきである。さらに、「エージング:aging」なる用語は、蒸着後の基板上における混合(combined)シリカベースの前駆体組成物のゲル化、縮合または重合を指す。「硬化」なる用語は、残存シラノール(Si-OH)基の除去、残存する水の除去及び、マイクロエレクトロニクス製造プロセスの続くプロセスの間にフィルムをより安定化するプロセスを指す。硬化プロセスはゲル化後、通常、熱を適用することによって実施するが、たとえば電子線、紫外線照射などの形態でエネルギーを適用することによる、他の任意の当業界で公知の硬化形を実施することができる。
インターレベル誘電体コーティングなどの誘電体フィルムは、基板に適用した適当な組成物から製造する。誘電体前駆体組成物を適用するための当業界で公知の方法としては、スピンコーティング、ディップコーティング、ブラッシング、ローリング、スプレイ及び/または化学蒸着が挙げられるが、これらに限定されない。ベース材料を適用して誘電体フィルムを形成する前に、基板表面は場合により、標準的な、当業界で公知のクリーニング法によってコーティング用に準備する。次いでコーティングを加工して誘電体コーティングの所望のタイプ及びコンシステンシーを得、ここでこの加工段階は、選択された前駆体及び所望の最終製品に適切なように選択される。本発明の方法及び組成物の詳細については以下に提供する。
本明細書中で使用する「基板:substrate」としては、その上に本発明のナノポーラスシリカフィルムを適用及び/または形成する前に形成した任意の適当な組成物が挙げられる。たとえば、基板は通常、集積回路を製造するのに適当なシリコンウエハであり、ナノポーラスシリカフィルムを形成するベース材料を、慣用法、たとえばこれらに限定されないが、スピンコーティング、ディップコーティング、ブラッシング、ローリング及び/またはスプレイなどの慣用法によって基板に適用する。ベース材料を適用してナノポーラスシリカフィルムを形成する前に、基板表面は、場合により標準の、当業界で公知のクリーニング法によってコーティング用に準備する。
本発明に適当な基板としては、ヒ化ガリウム(GaAs)、ケイ素、並びに結晶質シリコン(ケイ素)、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、及び二酸化ケイ素(SiO2)及びこれらの混合物を含む組成物などの半導体材料が挙げられるが、他を排除するものではない。
基板の表面には、公知のリソグラフィー法によって形成される金属、酸化物、窒化物またはオキシナイトライド(oxynitride)などの任意のパターンの隆起ライン(raised line)がある。このラインに適当な材料としては、シリカ、窒化ケイ素、窒化チタン、窒化タンタル、アルミニウム、アルミニウム合金、銅、銅合金、タンタル、タングステン及びシリコンオキシナイトライドが挙げられる。これらのラインを形成するのに有用な金属ターゲットは、同一出願人による米国特許第5,780,755号、同第6,238,494号、同第6,331,233B1号及び同第6,348,139B1号に教示されており、これらはHoneywell International Inc.より市販されている。これらのラインは、集積回路の導体または絶縁体を形成する。これらのラインは、通常、互いに約20マイクロメートル以下、好ましくは1マイクロメートル以下、より好ましくは約0.05〜約1マイクロメートルの距離できっちりと隔てられている。適当な基板表面の他の任意選択の特徴(optional feature)としては、酸化物層、たとえば空気中でシリコンウエハを加熱することによって形成した酸化物層など、より好ましくはプラズマ強化酸化テトラエトキシシラン(PETEOS)、プラズマ強化酸化シラン(PEシラン)及びこれらの組み合わせなどの当業界で認められている材料の化学蒸着により形成したSiO2酸化物層、並びに一種以上の予め形成したナノポーラスシリカ誘電体フィルムが挙げられる。
本発明のナノポーラスシリカフィルムは、そのような任意選択の電子表面特徴、たとえば基板の予め形成しておいた回路要素及び/または伝導路(conduction pathway)などの間を被覆するように及び/または位置するように適用することができる。そのような任意選択の基板特徴は、低誘電体フィルムが得られた集積回路の電気的及び/または電子的に機能性の層の一つ以上または複数を絶縁すべく機能するように、少なくとも一つの追加の層に本発明のナノポーラスシリカフィルムの上に適用することもできる。かくして、本発明に従った基板として、場合により、多層及び/または多成分集積回路の製造の間に、本発明のナノポーラスシリカフィルムの上にまたはこれに隣接して形成されるシリコン材料が挙げられる。さらなる任意選択において、本発明に従った単数または複数種類のナノポーラスシリカフィルムを支持している基板は、さらに、ガラスキャップ層などの当業界で公知の任意の非多孔質絶縁層で被覆することができる。
本発明に従ったナノポーラスシリカフィルムを形成するのに使用する架橋可能な組成物としては、容易に縮合する一種以上のケイ素含有プレポリマーが挙げられる。これは加水分解し得る少なくとも二つの反応性基を持たねばならない。そのような反応性基としては、アルコキシ(RO)、アセトキシ(AcO)などが挙げられる。本発明の方法及び組成物を得る方法について特定の理論及び仮説に拘束されるものではないが、水がシリコンモノマー上の反応性基を加水分解して、Si-OH基(シラノール)を形成するのだと考えられる。後者は、以下の式により示されるように、他のシラノールまたは他の反応性基との縮合反応を受ける。
Figure 0004662718
これらの縮合反応によってケイ素含有ポリマーが形成する。本発明の一態様において、プレポリマーとしては、式I:
Figure 0004662718
{式中、xは0〜約2の範囲の整数であり、yは4−xであり、約2〜約4の範囲の整数であり、
Rは独立してアルキル、アリール、水素、アルキレン、アリーレン及び/またはこれらの組み合わせであり、
Lは独立して選択され且つ負に帯電した基であり、たとえばアルコキシ、カルボキシル、アミノ、アミド、ハライド、イソシアナト及び/またはこれらの組み合わせである}によって示される、化合物または化合物の任意の組み合わせが挙げられる。
特に有用なプレポリマーは、式中、xが約0〜約2の範囲を変動し、yが約2〜約4を変動し、Rはアルキル若しくはアリールまたはHであり、及びLは負に帯電した基であり、ここでSi-L結合の加水分解速度は、Si-OCH2CH3結合の加水分解速度よりも大きい}によって提供されるものが挙げられる。かくして、(a)及び(b)として示される以下の反応に関しては、(a)の速度は(b)の速度よりも大きい。
Figure 0004662718
式Iの適当な化合物の例としては、これらに限定されないが、Si(OCH2CF3)4、テトラキス(2,2,2-トリフルオロエトキシ)シラン;Si(OCOCF3)4、テトラキス(トリフルオロアセトキシ)シラン*;Si(OCN)4、テトライソシアナトシラン;CH3Si(OCH2CF3)3、トリス(2,2,2-トリフルオロエトキシ)メチルシラン;CH3Si(OCOCF3)3、トリス(トリフルオロアセトキシ)メチルシラン*;CH3Si(OCN)3、メチルトリイソシアナトシラン[*:これらは水に暴露すると酸触媒を生成する]及び上記の任意の組み合わせが挙げられる。
本発明のもう一つの態様において、組成物は、加水分解及び縮合反応によって式Iにより示される化合物から合成されるポリマーを含み、ここで数平均分子量は約150〜約300,000amuを変動し、より通常には約150〜約10,000amuを変動する。
本発明のさらなる態様では、本発明に従った有用なケイ素含有プレポリマーとしては、式II:
Figure 0004662718
に従ったアルコキシシラン類などのオルガノシランが挙げられる。
場合により、式IIはアルコキシシランであり、ここでR基の少なくとも二つは独立してC1〜C4アルコキシ基であり、もしあれば残余は独立して、水素、アルキル、フェニル、ハロゲン、置換フェニルからなる群から選択される。本発明の目的に関しては、「アルコキシ」なる用語としては、加水分解によって室温付近の温度でシリコンから容易に開裂し得る任意の他の有機基が挙げられる。R基はエチレングリコキシまたはプロピレングリコキシなどであってもよいが、4つのR基全てがメトキシ、エトキシ、プロポキシまたはブトキシであるのが好ましい。最も好ましいアルコキシシラン類は、テトラエトキシシラン(TEOS)及びテトラメトキシシランが挙げられるが、他を排除するものではない。
さらなる任意選択では、たとえばプレポリマーは、式IIにより記載されるアルキルアルコキシシランであってもよく、しかしR基の少なくとも2つは独立してC1〜C4アルキルアルコキシ基(ここで、アルキル部分はC1〜C4アルキルであり、アルコキシ部分はC1〜C6アルコキシである)またはエーテル-アルコキシ基であり;もしあれば残余は、水素、アルキル、フェニル、ハロゲン、置換フェニルからなる群から独立して選択される。好ましい一態様では、Rはそれぞれメトキシ、エトキシまたはプロポキシである。好ましいもう一つの態様では、少なくとも2つのR基はアルキルアルコキシであり、ここで前記アルキル部分はC1〜C4アルキルであり、アルコキシ部分はC1〜C6アルコキシである。気相前駆体に関するさらにもう一つの好ましい態様では、少なくとも二つのR基は、式(C1〜C6アルコキシ)n{式中、nは2〜6である}のエーテル-アルコキシ基である。
好ましいケイ素含有プレポリマーとしては、たとえば、アルコキシシラン類、たとえば加水分解可能で、縮合してシリカを生成し得る4つの基をもつテトラエトキシシラン、テトラプロポキシシラン、テトライソプロポキシシラン、テトラ(メトキシエトキシ)シラン、テトラ(メトキシエトキシエトキシ)シランなどのアルコキシシラン類、メチルトリエトキシシランなどのアルキルアルコキシシラン類、フェニルトリエトキシシランなどのアリールアルコキシシラン類及び、フィルムにSiH官能基を生成するトリエトキシシランなどの前駆体のいずれかまたは組み合わせが挙げられる。テトラキス(メトキシエトキシエトキシ)シラン、テトラキス(エトキシエトキシ)シラン、テトラキス(ブトキシエトキシエトキシ)シラン、テトラキス(2-エチルトキシ)シラン、テトラキス(メトキシエトキシ)シラン、及びテトラキス(メトキシプロポキシ)シランが本発明に関して特に有用である。
本発明のさらなる態様では、上記アルコキシシラン化合物は、その全体または一部を、アセトキシ及び/またはハロゲンベースの離脱基をもつ化合物で置き換えることができる。たとえば、プレポリマーは、アセトキシ-シラン化合物などのアセトキシ(CH3-CO-O-)及び/またはハロゲン化シラン化合物などのハロゲン化化合物及び/またはこれらの組み合わせであってもよい。ハロゲン化プレポリマーに関しては、ハロゲンは、たとえばCl、Br、Iであり、特定の態様では場合によりFを含む。好ましいアセトキシ-誘導プレポリマーとしては、テトラアセトキシシラン、メチルトリアセトキシシラン及びまたはその組み合わせが挙げられる。
本発明の特定の態様において、ケイ素含有プレポリマーとしては、ポリマー前駆体、たとえばアセトキシシラン、エトキシシラン、メトキシシラン及び/またはこれらの組み合わせが挙げられる。
本発明の特定の態様において、ケイ素含有プレポリマーとしては、テトラアセトキシシラン、C1〜約C6アルキルまたはアリール-トリアセトキシシラン及びそれらの組み合わせが挙げられる。特に、以下に例示の如く、トリアセトキシシランはメチルトリアセトキシシランである。
ケイ素含有プレポリマーは、約10重量パーセント〜約80重量パーセントの量で、全組成物中に含まれるのが好ましく、約20重量パーセント〜約60重量パーセントの量で全組成物中に含まれるのが好ましい。
非エレクトロニクス用途に関しては、オニウムまたは求核性触媒は金属イオンを含んでいてもよい。たとえば、水酸化ナトリウム、硫酸ナトリウム、水酸化カリウム、水酸化リチウム及びジルコニウム含有触媒が挙げられる。
マイクロエレクトロニクス用途に関しては、好ましくは、組成物は、オニウム化合物または求核性試薬である少なくとも一種の金属イオンを含まない触媒を含む。触媒は、たとえばアンモニウム化合物、アミン、ホスホニウム化合物またはホスフィン化合物であってもよい。そのような非排他的例としては、酢酸テトラメチルアンモニウム、水酸化テトラメチルアンモニウム、酢酸テトラブチルアンモニウム、トリフェニルアミン、トリオクチルアミン、トリドデシルアミン、トリエタノールアミン、酢酸テトラメチルホスホニウム、水酸化テトラメチルホスホニウム、トリフェニルホスフィン、トリメチルホスフィン、トリオクチルホスフィンなどのテトラオルガノアンモニウム化合物及びテトラオルガノホスホニウム化合物並びにそれらの組み合わせが挙げられる。組成物は、組成物の架橋を加速する非金属の求核性添加剤を含むことができる。これらとしてはジメチルスルホン、ジメチルホルムアミド、ヘキサメチルホスホラストリアミド(HMPT)、アミン及びこれらの組み合わせが挙げられる。触媒は、全組成物中に約1ppm〜100ppmの量で配合され、好ましくは約6ppm〜約200ppmの量で全組成物中に配合される。
組成物は少なくとも一種のポロゲンを含む。ポロゲンは、化合物またはオリゴマー若しくはポリマーであってもよく、且つ熱を適用することなどによって除去すると、ナノメートルスケールの多孔質構造をもつシリカ誘電体フィルムが形成するように選択する。ポロゲン除去によって生成した細孔スケールは、選択したポロゲン成分の有効立体構造の直径(effective steric diameter)に比例する。任意の特定の孔径範囲(すなわち直径)に関する要求は、フィルムを使用する半導体デバイスのスケールによって限定される。さらに、ポロゲンは、小さな直径の構造の中にキャピラリー作用などによって生成した細孔が壊れ、多孔質ではない(密な)フィルムを形成するほど小さくてはいけない。さらに、所定のフィルムの細孔集団の全ての細孔の直径の偏差は最小でなければならない。ポロゲンは、所定のサンプル中で実質的に均一の分子量及び分子寸法を持ち、且つ統計分布も分子量分布、及び/または分子寸法が多様ではない化合物であるのが好ましい。分子量分布で有意な偏差を全て回避することによって、本発明のプロセスで処理されるフィルムの孔径を実質的に均一にできる。製造したフィルムが広い分布の孔径をもつと、信頼性のある半導体デバイスの製造を妨げることもありえる、一つ以上の大きな細孔、すなわち気泡形成が多くなるだろう。
さらに、ポロゲンは、フィルム形成を妨げずに容易且つ選択的にフィルムから除去されるような分子量及び構造をもたねばならない。このことは、通常、加工温度に対し上限をもつ、半導体デバイスの性質をベースとする。大まかに言えば、ポロゲンは、約450℃未満の温度で新しく形成したフィルムから除去可能でなければならない。特定の態様では、ポロゲンは、所望の後のフィルム形成プロセス及び材料に依存して、約30秒〜約60分の所定の時間の範囲の間で、約150℃〜約450℃の範囲の温度で容易に除去できるように選択する。ポロゲンの除去は、周囲圧力若しくはそれ以上、若しくは真空下でフィルムを加熱することにより、または放射線にフィルムを暴露することにより、あるいはその両方によって誘導することができる。
上記特徴に合うポロゲンとしては、(周囲圧力で)約150℃〜約450℃の沸点、昇華温度、及び/または分解温度範囲をもつ化合物及びポリマーが挙げられる。さらに、本発明の使用に適当なポロゲンとしては、たとえば約100〜約50,000amu、より好ましくは約100〜約3,000amuの範囲の分子量をもつものが挙げられる。
本発明のプロセス及び組成物での使用が適当なポロゲンとしては、ポリマー、好ましくはヒドロキシルまたはアミノなどの一つ以上の反応性基を含むものが挙げられる。これらの一般的なパラメーター内で、本発明の組成物及び方法での使用に適当なポリマーポロゲンは、たとえばポリアルキレンオキシド、ポリアルキレンオキシドのモノエーテル、ポリアルキレンオキシドのジエーテル、ポリアルキレンオキシドのビスエーテル、脂肪族ポリエステル、アクリルポリマー、アセタールポリマー、ポリ(カプロラクトン)、ポリ(バレラクトン)、ポリ(メチルメタクリレート)、ポリ(ビニルブチラール)及びまたはそれらの組み合わせがある。ポロゲンがポリアルキレンオキシドモノエーテルであるとき、特定の態様は、酸素原子とC1〜約C6アルキルエーテル部分との間のC1〜約C6アルキル鎖であり、ここで前記アルキル鎖は置換または非置換であり、たとえばポリエチレングリコールモノメチルエーテル、ポリエチレングリコールジメチルエーテル、またはポリプロピレングリコールモノメチルエーテルである。
他の有用なポロゲンは、本出願と同日出願の同一出願人による特許出願シリアル番号第 号に開示されており、ケイ素含有プレポリマーに接着しないポロゲンであり、ポリ(アルキレン)ジエーテル、ポリ(アリーレン)ジエーテル、ポリ(環式グリコール)ジエーテル、クラウンエーテル、ポリカプロラクトン、完全末端キャップ化ポリアルキレンオキシド、完全末端キャップ化ポリアリーレンオキシド、ポリノルボルネン、及びこれらの組み合わせが挙げられる。ケイ素含有プレポリマーに接着しない好ましいポロゲンとしては、ポリ(エチレングリコール)ジメチルエーテル、ポリ(エチレングリコール)ビス(カルボキシメチル)エーテル、ポリ(エチレングリコール)ジベンゾエート、ポリ(エチレングリコール)ジグリシジルエーテル、ポリ(プロピレングリコール)ジベンゾエート、ポリ(プロピレングリコール)ジグリシジルエーテル、ポリ(プロピレングリコール)ジメチルエーテル、15-クラウン-5、18-クラウン-6、ジベンゾ-18-クラウン-6、ジシクロヘキシル-18-クラウン-6、ジベンゾ-15-クラウン-5、及びこれらの組み合わせが挙げられる。
本発明を実施する方法について特定の理論及び仮説に拘束されるものではないが、「容易にフィルムから除去される」ポロゲンは、以下の事象:
(1)加熱段階の間のポロゲンの物理的蒸発;
(2)ポロゲンの揮発性のより高い分子フラグメントへの劣化;
(3)ポロゲンとSi含有成分との間の(単数または複数の)結合の破壊、及び続くフィルムからポロゲンの蒸発、の一つ若しくは組み合わせまたは態様1〜3の任意の組み合わせを受ける。ポロゲンは、ポロゲンの実質的な割合が除去される、たとえばポロゲンの少なくとも50重量%以上が除去されるまで加熱する。特に、特定の態様では、選択したポロゲン及びフィルム材料に依存して、ポロゲンの少なくとも約75重量%以上を除去する。
かくして、「実質的に」なる用語は、たとえば適用したフィルムから元のポロゲンの約50%〜約75%以上を除去することを意味する。
ポロゲンは全組成物中、約1〜約50重量パーセント以上の量で含まれるのが好ましい。より好ましくは、ポロゲンは、約2〜約20重量パーセントの範囲の量で組成物に存在する。
次いで、全組成物は、場合により溶媒組成物を含む。本明細書中における「溶媒」とは、全組成物の成分を可溶化させるために選択された溶媒形を形成する、単一溶媒、極性または非極性溶媒及び/または相溶性溶媒の組み合わせを包含するものと理解すべきである。場合により溶媒は、粘度を低下させるため及び、当業界で標準的な方法(たとえばスピンコーティング、スプレイコーティング、ディップコーティング、ローラーコーティングなど)によって基板での均一コーティングを促進させるために、組成物中に配合する。
溶媒除去を容易にするためには、溶媒は、全ての選択したポロゲン及び他の前駆体成分の沸点に対して比較的低い沸点をもつものである。たとえば、本発明のプロセスに有用な溶媒は、適用したフィルムから溶媒を蒸発させ、且つ適所に前駆体組成物の活性部分を残す約50〜約250℃の範囲の沸点をもつ。種々の安全要件及び環境的要件に合わせるために、溶媒は、高い引火点(通常40℃を超える)と比較的低レベルの毒性をもつのが好ましい。適当な溶媒としては、たとえば炭化水素類、並びに官能基:C-O-C(エーテル)、-CO-O(エステル)、-CO-(ケトン)、-OH(アルコール)、及び-CO-N-(アミド)をもつ溶媒、及びこれらの官能基の複数をもつ溶媒、並びにこれらの組み合わせが挙げられる。
本組成物用の溶媒としては、ジ-n-ブチルエーテル、アニソール、アセトン、3-ペンタノン、2-ヘプタノン、酢酸エチル、酢酸n-プロピル、酢酸n-ブチル、酢酸エチル、エタノール、2-プロパノール、ジメチルアセトアミド、酢酸プロピレングリコールメチルエーテル及び/またはそれらの組み合わせが挙げられるが、これらに限定されない。溶媒は、ケイ素含有プレポリマー成分と反応しないのが好ましい。
溶媒成分は、好ましくは全組成物の約10重量%〜約95重量%の量で配合する。より好ましい範囲は、約20%〜約75%であり、約20%〜約60%がより好ましい。使用する溶媒の割合が高いほど、得られたフィルムは薄い。使用するポロゲンの割合が高いほど、得られる多孔率は高い。
本発明のもう一つの態様では、組成物は、液体または水蒸気の水を含むことができる。たとえば、全組成物を基板に適用し、次いで標準温度及び標準周囲圧力で、水蒸気を含む周囲雰囲気に暴露することができる。場合により、所望の基板に適用する前に前駆体組成物がエージングまたはゲル化する割合で配合せずに、組成物は基板に適用する前に製造し、前駆体組成物の初期エージングに適当な割合の水を配合する。たとえば、前駆体組成物に水を混合するとき、約0.1:1〜約50:1の範囲の水対ケイ素含有プレポリマー中のSi原子のモル比で組成物が水を含む割合で水を配合するのが好ましい。より好ましい範囲は、約0.1:1〜約10:1であり、約0.5:1〜約1.5:1が最も好ましい。
当業者には、架橋及びナノポーラス誘電体フィルムからのポロゲンの除去のための具体的な温度範囲は、選択された材料、基板及び所望のナノスケール細孔構造に依存し、これらのパラメーターの日常的な取り扱いによって容易に決定されることは理解されよう。通常、コーティング化基板を加熱などの処理にかけて、基板上の組成物を架橋させてゲル化フィルムを生成させる。
架橋は、段階(c)において、約100℃〜約250℃の範囲の温度で、約30秒〜約10分間の時間、フィルムを加熱してフィルムをゲル化させることによって実施することができる。当業者は、当業界で公知の方法に従って、フィルムを電子ビームエネルギー、紫外線エネルギー、マイクロ波エネルギーなどに暴露することによってフィルムを硬化させるのに十分なエネルギーを適用することを含む、当業界で公知の追加の任意の多くの硬化方法を場合により使用することも理解するだろう。
フィルムがエージングしたら、すなわちフィルムが十分に縮合して固体または実質的に固体となったら、ポロゲンを除去することができる。ポロゲンは、フィルムが固化する前にフィルムから蒸発しないように、十分に非揮発性でなければならない。段階(d)において、約150℃〜約450℃の範囲の温度、好ましくは約150℃〜約350℃の温度で、約30秒〜約1時間、ゲル化フィルムを加熱することによって、ポロゲンを除去する。本発明の重要な特徴は、段階(c)の架橋を、段階(d)の加熱温度よりも低い温度で実施するということである。
ユーティリティ:
本発明の組成物は、接着促進剤、消泡剤、洗剤、難燃剤、顔料、可塑剤、安定剤、及び界面活性剤などの追加の成分も含むことができる。本組成物は、断熱、被包材料(encapsulant)、ポリマー及びセラミック複合材料用のマトリックス材料、軽量複合材料、防音材、腐食防止コーティング、セラミック粉末用バインダー、並びに難燃性コーティングなどの非マイクロエレクトロニクス用途で有用である。
本発明の組成物は、マイクロチップ、マルチチップモジュール、ラミネート化回路基板、またはプリント配線板における誘電体基板材料としてマイクロエレクトロニクス用途で特に有用である。本発明の組成物は、エッチストップまたはハードマスクとしても使用することができる。
本発明のフィルムは、スプレイ、ローリング、ディップ、スピンコーティング、フローコーティング、またはキャスティング及び化学蒸着などの溶液法により形成することができる。マイクロエレクトロニクス用には、スピンコーティングが好ましい。化学蒸着(CVD)に関しては、組成物をCVD装置内に設置し、気化し、コーティングすべき基板を含有する蒸着チャンバ内に導入する。その気化点を超えて組成物を加熱することにより、真空を使用することにより、または上記の組み合わせによって、気化を実施することができる。通常、気化は、大気圧下、50℃〜300℃の範囲の温度、または真空下、低温(室温付近)で実施する。
三種類のCVDプロセス:大気圧CVD(APCVD)、低圧CVD(LPCVD)、及びプラズマ強化CVD(PECVD)がある。これらのアプローチはそれぞれ長所と短所とがあった。APCVD装置は、約400℃の温度で質量輸送制限反応モード(mass transport limited reaction mode)で操作する。質量輸送制限蒸着では、質量輸送プロセスが温度に対してほんの弱くしか依存しないので、蒸着チャンバの温度制御は、他の方法よりもそれほど重要ではない。反応体の到着速度は、バルクガス中のそれらの濃度に正比例するので、ウエハに近いバルクガス中の反応体を均一濃度に維持することは重要である。かくして、ウエハを横切って確実に均一な厚さのフィルムとするために、質量輸送制限レジメで操作する反応器は、全てのウエハ表面に反応体の等しい融剤(flux)を供給するように設計しなければならない。最も広く使用されるAPCVD反応器のデザインは、ウエハを水平に配置し、且つガスストリーム下で移動させることによって、反応体を均一供給する。
APCVD反応器と対照的に、LPCVD反応器は反応速度制限条件モード(reaction rate-limited condition mode)で操作する。反応速度制限条件下で実施されるプロセスにおいて、プロセスの温度は重要なパラメーターである。反応器の中でくまなく均一な蒸着速度を維持するためには、反応器温度は、反応器内でくまなく且つ全てのウエハ表面で均一でなければならない。反応速度制限条件下では、蒸着された種が表面に到達する速度は、一定温度ほど重要ではない。かくして、LPCVD反応器は、ウエハ表面の全ての位置に反応体の融剤を一様に供給するように設計する必要はない。
LPCVD反応器の低圧下では、たとえば中程度の真空(30〜250Paまたは0.25〜2.0トール)及び高温(550〜600℃)では、蒸着種の拡散率(diffusivity)は、大気圧における拡散率の約1000倍増加する。増加した拡散率は、反応体が拡散しなければならない距離が、圧力の平方根未満だけ増加するという事実によって一部相殺される。正味効果は、基板表面に対する反応体と、基板表面からの副生成物の輸送でワンオーダーを超える増加があるということである。
LPCVD反応器は、二つの主な構成:(a)水平管反応器(horizontal tube reactor);及び(b)垂直フロー等温反応器(vertical flow isothermal reactor)で設計される。水平管、ホットウォール(hot wall)反応器は、VLSI加工で最も広く使用されるLPCVD反応器である。ポリ-Si、窒化ケイ素、並びに非ドープ化及びドープ化SiO2フィルムを蒸着するために使用される。これらの優れた経済性、処理能力、均一性及び、たとえば150nmなどの大きな直径のウエハに対する適応性により、そのように広い適用性が知見されている。
垂直フロー等温LPCVD反応器は、それぞれのウエハが新しい反応体を等しく供給されるように、分散ガス供給法(distributed gas feed technique)を拡大適用する。ウエハを再び並行して重ねるが、穿孔クォーツケージ(perforated quartz cage)に設置する。このケージは、長い、穿孔クォーツの反応ガスインジェクタ管の真下に、それぞれの反応体ガスに対して一つの管を配置する。ガスは、ケージの穴を通ってインジェクタ管から垂直に、ウエハ表面に並行にウエハを過ぎて、ケージ下の排気スロットへ流れる。ケージの穴のサイズ、数及び位置は、ウエハ表面に反応体ガスの流れを制御するために使用する。ケージの穿孔デザインを正しく最適化することによって、垂直に隣接するインジェクタ管から新しい反応体をそれぞれのウエハに等しく供給することができる。かくして、このデザインは端部供給管反応器(end-feed tube reactor)のウエハ-ウエハ反応体償却作用(wafer-to-wafer reactant depletion effect)を回避し、温度傾斜の必要がなく、非常に均一な蒸着を生成し、且つ微粒子汚染を低くすることができるという。
第三の主なCVD蒸着法は、PECVDである。この方法は、圧力レジメによるだけでなく、エネルギー入力法によって分類される。もっぱら化学反応を開始且つ維持するために熱エネルギーに依存するのではなく、PECVDは、rf-誘発グロー放電を使用してエネルギーを反応体ガスに転送し、基板をAPCVDまたはLPCVDプロセスよりも低温に保持する。低基板温度は、PECVDの主な利点であり、他の方法によってコーティングを受けるには十分な熱安定性をもたない基板上にもフィルムを蒸着できる。PECVDは、熱反応を使用して達成したものよりも蒸着速度を向上させることもできる。さらに、PECVDは、特徴的な組成物及び特性をもつフィルムを製造することができる。接着性、低ピンホール密度、優れたステップカバレージ(step coverage)、適当な電気的特性及び微細ラインパターン移転プロセスとの適合性などの望ましい特性により、VLSIにおけるこれらのフィルムの適用に結びついた。
PECVDは、rf粉末密度、頻度及びデューティサイクル(duty cycle)などの幾つかの蒸着パラメーターを制御及び最適化しなければならない。蒸着プロセスは、ガス成分、フロー速度、温度及び圧力の通常のパラメーターと同様に、これらのパラメーターに複雑且つ相互依存的に依存する。さらに、更に、LPCVDにおけるように、PECVD法は制限された表面反応であり、かくして、確実に一定のフィルム厚さとするのに適切な基板温度制御が必要である。
CVD系は、通常、以下の成分:ガス供給源、ガス供給材料ライン、ガスを系内に計量するためのマスフローコントローラ、反応チャンバまたは反応器、蒸着すべきフィルム上でウエハを加熱する方法、及び他の手段によって追加のエネルギーを加えるための幾つかのタイプの系、並びに温度センサを含む。LPCVD及びPECVD系は、減圧を確立し、チャンバからガスを排気するためポンプも含む。
好ましくは、本発明の組成物は溶媒に溶解する。本発明の組成物のそのような溶液で使用するのに適当な溶媒としては、所望の温度で気化する有機、有機金属、または無機分子の任意の純粋なものまたはその混合物が挙げられる。適当な溶媒としては、たとえば、非プロトン性溶媒、たとえばシクロペンタノン、シクロヘキサノン、シクロヘプタノン及びシクロオクタノンなどの環式ケトン;アルキルが約1〜4個の炭素原子をもつN-アルキルピロリドンなどの環式アミド;及びN-シクロヘキシルピロリジノン並びにこれらの混合物が挙げられる。他の種々の有機溶剤が接着促進剤の溶解を助け且つ、同時にコーティング溶液として得られた溶液の粘度を効果的に制御できる限りは、種々多様の他の有機的な溶剤を本発明において使用することができる。撹拌及び/または加熱などの種々の促進手段を使用して、可溶化を助けることができる。他の適当な溶媒としては、メチルエチルケトン、メチルイソブチルケトン、ジブチルエーテル、ジメチルポリシロキサン、ブチルラクトン、γ-ブチルラクトン、2-ヘプタノン、エチル3-エトキシプロピオネート、1-メチル-2-ピロリジノン、及び酢酸プロピレングリコールメチルエーテル(PGMEA)、並びにメシチレン、キシレン、ベンゼン及びトルエンなどの炭化水素溶媒が挙げられる。
本発明の組成物は、電気装置、より具体的には、単一集積回路(IC)チップと関連した内部連絡でインターレイヤー誘電体として使用することができる。集積回路チップは、通常、本発明の組成物の複数の層と、金属導体の多重層とを有する。集積回路の同一層またはレベルの個々の金属導体または導体領域の間に本発明の組成物の領域も含むことができる。
本発明のフィルムは基板上に形成することができる。本明細書で検討する基板は、任意の所望の実質的に中実材料を含むことができる。特に望ましい基板層としては、フィルム、ガラス、セラミック、プラスチック、金属若しくはコーティング化金属、または複合材料がある。好ましい態様において、基板は、ケイ素若しくはヒ化ガリウムダイまたはウエハ表面、銅、銀、ニッケル若しくは金メッキリードフレームなどのパッケージ表面、回路板若しくはパッケージ内部連絡トレースに見られるような銅表面、ビア-壁若しくは硬化剤(stiffener)界面(「銅」は裸の銅及びその酸化物を含む)、ポリイミドベースの可とう性パッケージに見られるようなポリマーベースのパッケージング若しくは基板インターフェース(board interface)、鉛若しくは他の金属合金はんだ屑表面、ガラス及びポリマーを含む。有用な基板としては、ケイ素、窒化ケイ素、酸化ケイ素、シリコンオキシカーバイド(silicon oxycarbide)、二酸化ケイ素、炭化ケイ素、シリコンオキシニトリド(silicon oxynitride)、窒化チタン、窒化タンタル、窒化タングステン、アルミニウム、銅、タンタル、オルガノシロキサン、有機ケイ素ガラス、及びフッ化ケイ素ガラスが挙げられる。他の態様において、基板は、ケイ素、銅、ガラス及びポリマーなどのパッケージング及び回路板業界でよく知られる材料を含む。本発明の組成物で製造した回路板は、種々の導体回路用にその表面パターンに据え付けられる。回路板は、織りの非導電性繊維またはガラス布などの種々の強化材を含むことができる。そのような回路板は、片面、並びに両面であってもよい。
本発明のフィルムは、集積回路製造用のデュアルダマシン(銅など)加工及びサブストラクティブ・メタル(substractive metal)(たとえばアルミニウムまたはアルミニウム/タングステン)加工で使用することができる。本発明の組成物は、本明細書中、その全体が参照として含まれるトーマス(Michael E.Thomas)のSpin-On Stacked Films for Low keff Dielectrics、Solid State Technology(2001年7月)により教示のごとき望ましいオール・スピン-オン・スタック・フィルム(all spin-on stacked film)で使用することができる。本発明の組成物は、同一出願人による米国特許第6,248,457B1号;同第5,986,045号;同第6,124,411号;及び同第6,303,733号により教示のような追加の誘電体をもつオール・スピン-オン・スタック・フィルムで使用することができる。
分析試験法:
誘電率:誘電率は、硬化層にアルミニウムの薄いフィルムをコーティングし、次いで1MHzでキャパシタンス-電圧測定を実施し、そして層の厚さをベースとしてk値を計算することにより決定した。
屈折率:屈折率測定は、J.A.Woollam M-88分光偏光解析器を使用して厚さ測定と一緒に実施した。Cauchyモデルを使用して、プサイとデルタに最も合う値を計算した。他に記載しない限り、屈折率は633nmの波長で報告した[偏光解析器の詳細は、たとえば”Spectroscopic Ellipsometry and Reflectometry”、トンプキンス(H.G.Thompkins)及びマクガーン(William A.McGahan),John Wiley and Sons,Inc.,1999年に知見することができる]。
平均孔径:
多孔質サンプルのN2等温線は、UHP(超高純度工業用ガス)N2を使用して、77゜Kで液体N2浴中のサンプル管に浸漬させたサンプルを使用し、Micromeretics ASAP2000自動等温N2吸収装置で実施した。
サンプル製造に関しては、最初に材料を、標準処理条件を使用してシリコンウエハ上に蒸着させた。それぞれのサンプルに関して、約6000オングストロームのフィルム厚さで3枚のウエハを製造した。次いで、カミソリの刃でこすってフィルムをウエハから除去した。これらの粉末サンプルをオーブン中180℃で予備乾燥してから秤量し、内径10mmのサンプル管に粉末を注意深く入れ、次いで0.01トール、180℃で>3時間、脱気した。
次いで、分析がもっと長時間が必要であることを示さない限り、5秒の平衡間隔で自動的にN2吸着及び脱着を測定した。等温を測定するのに必要な時間は、サンプルの質量、サンプルの細孔容積、測定したデータ点数、平衡間隔、及びP/Po許容範囲(tolerance)に比例した(Pはサンプル管のサンプルの実際の圧力である。Poは、装置の外側の周囲圧力である)。この装置は、N2等温とプロットN2対P/Poを測定する。
みかけのBET[ブルナウワー(S.Brunauer)、(エメット)P.H.Emmett、テラー(E.Teller)、J.Am.Chem.Soc.,60巻,309〜319頁(1938年)に開示の固体表面の多層気体吸着のブルナウワー、エメット、テラー法]表面積は、R2フィット(fit)>0.9999を与えるBET等式の線形部分を使用して、BET理論を使用したN2吸着等温の低いP/Po領域から計算した。
細孔容積は、相対圧力P/Po値、通常P/Po〜0.95で吸着させたN2の容積から計算し、これは、吸着されたN2の密度が液体のN2と同じで、且つ細孔がすべてこのP/Poで、濃縮N2で充填されるものと仮定して、凝縮(condensation)が完了した等温の平坦な部位にある。
この孔径分布は、ケルビン等式理論を使用するN2等温からBJH[E.P.Barret,L.G.Joyner,P.P.Halenda;J.Am.Chem.Soc.,73巻,373〜380頁(1951年)]孔径分布を使用してN2等温の吸着アーム(adsorption arm)から計算した。これは、蒸気圧力の抑制に対する曲率に関係するケルビンの等式と、吸着したN2単層の厚さ対P/Poを記載するハルセイ(Halsey)の等式とを使用して、凝縮したN2の容積対P/Poを特定の孔径範囲の細孔容積に転換する。
平均筒状孔径Dは、サンプルとして同一のみかけのBET表面積Sa(m2/g)と細孔容積Vp(cc/g)をもつ筒状物の直径であったので、D(nm)=4000Vp/Saである。
本発明を説明するために、以下に非限定的な実施例を供する。
実施例1
本実施例は、高濃度ナトリウムを有するポロゲンを使用したナノポーラスシリカフィルムの製造について示す。前駆体は、100ml丸底フラスコ(マグネチックスターラーバーを含む)で、テトラアセトキシシラン10g、メチルトリアセトキシシラン10g、及び酢酸プロピレングリコールメチルエチル(PGMEA)17gを混合することにより製造した。これらの成分は、N2雰囲気(N2グローブバッグ)中で混合した。フラスコをN2環境にも接続して、溶液に周囲の水分が入らないようにした(標準温度及び圧力)。
この反応混合物を80℃に加熱してから、水1.5gをフラスコに添加した。水の添加が完了した後、反応混合物を周囲温度に放冷し、ポロゲンとしてポリエチレングリコールモノメチルエーテル(PEO;MW550amu)(>300ppb Na)4.26gを添加し、さらに2時間撹拌を継続した。その後、得られた溶液を0.2ミクロンフィルターで濾過し、次段階用の前駆体溶液マスターバッチを製造した。次いでこの溶液を、それぞれスピンチャック上で一連の8インチのシリコンウエハ上に蒸着し、2500rpmで30秒間スピンした。前駆体中に水が含まれると、ウエハを第一のオーブンに入れる時までにフィルムコーティングは実質的に縮合した。以下に記載の如く、スピン完了10秒以内に、第一のオーブンに入れる。次いでコーティング化ウエハを、それぞれ特定の温度に予めセットしておいた連続する一連のオーブンに、それぞれ1分間移した。この実施例では3つのオーブンがあり、予め設定したオーブン温度はそれぞれ80℃、175℃及び300℃であった。それぞれのウエハを3つのオーブンのそれぞれから取り出すに連れて、3つの連続する加熱段階によってPEOを除去する。3つのオーブンによる加熱処理をした後、ウエハをそれぞれ冷却し、得られた誘電体フィルムを偏光解析法によって測定し、その厚さ及び屈折率を測定した。次いでフィルムコーティング化ウエハをそれぞれ、さらに流動窒素下、425℃で1時間硬化させる。本発明の液体前駆体から製造した非多孔質フィルムは、屈折率1.41であり、kde-gasは3.2である。比較のために、空気の屈折率は1.0である。従って、本発明のナノポーラスフィルムの多孔率は、空気であるその容積の割合に比例する。このフィルムはベーキング厚さ5920Å、ベーキング屈折率1.234、硬化厚さ5619Å及び硬化屈折率1.231を有する。製造した硬化フィルムの多孔率は約43%である(以下の表のエントリー1を参照されたい)。表において、フィルムのキャパシタンスを周囲条件(室温及び湿度)で測定した。周囲キャパシタンス値をベースとする誘電率をkambientという。吸収された水分を除去するために200℃で2分間、ホットプレートでウエハを加熱してから、再びフィルムのキャパシタンスを測定した。脱水キャパシタンスをベースとする誘電率をkde-gasという。
実施例2(比較例)
本実施例は、低濃度のナトリウムを有するポロゲンを使用するナノポーラスシリカの製造について示す。
高濃度のナトリウムを含む粗なPET(ポリエチレングリコールモノメチルエーテル、MW=550)を、この粗なPEOと水を50:50の比で混合することにより精製する。この混合物をイオン交換樹脂に通して、金属を除去した。濾液を集め真空蒸留して水分を除去して、ニートな低金属PEO(<100ppb Na)とした。次いで、高金属PEOの代わりの低金属PEOで実施例1の手順に従った。kde-gas値3.03から、このフィルムは基本的に崩壊し、たったの約7%の多孔率であると予想され、実施例1と比べて43%から低下した(比較例)。このフィルムは、ベーキング厚さ4179Å、ベーキング屈折率1.353、硬化厚さ3875Å及び、硬化屈折率1.331であった(以下の表のエントリー2を参照されたい)。
実施例3
本実施例ではナトリウムカチオン(水酸化ナトリウム、エントリー3を参照されたい)または硫酸ナトリウム(エントリー4を参照されたい)を添加して低kを回復させた以外には、実施例2を繰り返す。水酸化ナトリウム(NaOH,23ppm)または硫酸ナトリウム(Na2SO4,40ppm)をイオン交換化PEOと前駆体マスターバッチに添加した。2400rpmまたは3500rpmでスピンコーティングすることにより、フィルムをウエハ上に蒸着させた。スピンコーティング後、フィルムを、温度80℃、175℃及び300℃の温度で3つのホットプレートで、それぞれ1分間加熱する。ベーキング後、フィルムを流動窒素下、425℃で1時間硬化させる。硬化後のk及びR.I.の結果を以下の表に列記する。
実施例4
本実施例では、テトラオルガノアンモニウム(TMAA)(エントリー5、6及び7)、TMAH(エントリー8)またはTBAA(エントリー9)イオンを添加して低kを回復させた以外には、実施例2を繰り返す。種々の量のTMAAをイオン交換化PEOと前駆体マスターバッチとに添加した。場合によっては、少量のメチルトリアセトキシシラン(MTAS,1%,エントリー6を参照されたい)を溶液に添加し、in-situ表面変性剤として機能させて、表面をやや親水性とした。2400rpmまたは3500rpmでスピンコーティングすることにより、ウエハ上にフィルムを蒸着させた。スピンコーティング後、このフィルムを温度80℃、175℃及び300℃の温度で3つのホットプレートで、それぞれ1分間加熱した。ベーキング後、フィルムを流動窒素下、425℃で1時間硬化させる。エントリー5及び6に関しては、平均孔径は2.5nmであった、硬化後のk及びR.I.の結果を以下の表に列記する。アンモニウムイオンの濃度が溶液約65×10-9モル/グラムより高いと、k値は2.5未満であり、これはTMAA約3ppmに相当することが示される。
実施例5
本実施例は、低濃度のナトリウムのポロゲンと市販のメチルシロキサンポリマー(Honeywell ACCUGLASS:登録商標、SPIN-ON GLASS 512B)からのナノポーラスシリカフィルムの製造について示す。
高濃度のナトリウムを含む粗なPEO(ポリエチレングリコールモノメチルエーテル、MW=550)を、粗なPEOと水を50:50の重量比で混合することにより精製する。この混合物をイオン交換樹脂に通して、金属を除去する。濾液を集め真空蒸留して水分を除去して、ニートな低金属PEO(<100ppb Na)を製造する。得られたPEO(4.88g)とブタノール(48g)をACCUCLASS(登録商標)SPIN-ON GLASS 512B(43g)と混合する。その後、得られた溶液を0.2ミクロンフィルターで濾過して、次段階用の前駆体溶液マスターバッチを提供する。次いで溶液をそれぞれがスピンチャック上の一連の8インチのシリコンウエハ上で蒸着し、3000rpmで30秒間スピンした。前駆体中に水が含まれると、ウエハを第一のオーブンに入れる時までにフィルムコーティングは、実質的に縮合した。以下に記載の如く、スピン完了10秒以内に、第一のオーブンに入れる。次いでコーティング化ウエハを、それぞれ特定の温度に予めセットしておいた連続する一連のオーブンに、1分間それぞれ移した。この実施例では3つのオーブンがあり、予め設定したオーブン温度はそれぞれ80℃、175℃及び300℃であった。それぞれのウエハを3つのオーブンのそれぞれから取り出すに連れて、3つの連続する加熱段階によってPEOを除去する。3つのオーブンによる段階的加熱処理をした後、ウエハをそれぞれ冷却し、得られた誘電体フィルムを偏光解析法によって測定し、その厚さ及び屈折率を測定した。次いでフィルムコーティング化ウエハをそれぞれ、さらに流動窒素下、425℃で1時間硬化させる。フィルムは崩壊し、多孔質構造体は形成できない。フィルムはベーキング厚さ1690Å、ベーキング屈折率1.395、硬化厚さ1615Å及び硬化屈折率1.367を有する。製造した硬化フィルムの多孔率は約5%である(以下の表のエントリー10を参照されたい)。
実施例6
本実施例ではテトラオルガノアンモニウム(TMAA;エントリー11)イオンを添加して低kを回復させる以外には、実施例5を繰り返した。TMAA(10ppm)をイオン交換化PEO(3.64g)、ブタノール(13g)及びACCUGLASS(登録商標)SPIN-ON GLASS 512B(25g)に添加する。2000rpmでスピンコーティングすることによりウエハ上にフィルムを蒸着させた。スピンコーティング後、フィルムを温度125℃、200℃及び350℃の三つのホットプレートで加熱した。ベーキング後、フィルムを流動窒素下、425℃で1時間硬化させた。硬化後のk及びR.I.の結果を以下の表に列記する。製造した硬化フィルムの多孔率は約40%である。平均孔径は2.5nmであった。
Figure 0004662718
実施例7
以下の実施例(表IIのエントリー1)は、TMAAの非存在下で、300℃で縮合(シラノール基の架橋としても公知)反応を弱めたことを示す。説明のために、ポロゲンは添加しない。前駆体は、100ml丸底フラスコ(マグネチックスターラーバーを含む)で、テトラアセトキシシラン10g、メチルトリアセトキシシラン10g、及び酢酸プロピレングリコールメチルエチル(PGMEA)17gを混合することにより製造した。これらの成分は、N2雰囲気(N2グローブバッグ)中で混合した。フラスコをN2環境にも接続して、溶液に周囲の水分が入らないようにした(標準温度及び圧力)。反応混合物を80℃に加熱してから、水1.5gをフラスコに添加した。水の添加が完了した後、反応混合物を周囲温度に放冷し、得られた溶液を0.2ミクロンフィルターで濾過し、次段階用の前駆体溶液マスターバッチを製造した。次いでこの溶液を、それぞれスピンチャック上で一連の8インチのシリコンウエハ上に蒸着し、2500rpmで30秒間スピンした。前駆体中に水が含まれると、ウエハを第一のオーブンに入れる時までにフィルムコーティングは実質的に縮合した。以下に記載の如く、スピン完了10秒以内に、第一のオーブンに入れる。次いでコーティング化ウエハを、それぞれ特定の温度に予めセットしておいた連続する一連のオーブンに、それぞれ1分間移した。この実施例では3つのオーブンがあり、予め設定したオーブン温度はそれぞれ80℃、175℃及び300℃であった。3つのホットプレートの段階的加熱処理後にウエハを冷却し、得られた誘電体フィルムを偏光解析法によって測定し、その厚さ及び屈折率を測定し、FTIRによってシラノール(SiOH、ν:3100〜3800cm-1)対メチル(CH3,ν:2978cm-1)領域比を測定した。観察された(Si)OH対CH3は、3kÅフィルムに関しては20を超え、RIは1.41±0.01であった。ベーキング化フィルムのFTIRスペクトルは多量のシラノールを示す(図1を参照されたい)。次いでフィルムコーティング化ウエハをそれぞれ、さらに流動窒素下、425℃で1時間硬化させる。得られたフィルムは(Si)OH対CH3比が2である。
実施例8
本実施例ではテトラオルガノアンモニウム(TMAA)(エントリー2)を添加した以外には、実施例7を繰り返した。本実施例は、300℃における縮合反応がTMAAの存在によって触媒作用を受けたことを示す。2400rpmでスピンコーティングすることによりフィルムをウエハに蒸着させた。スピンコーティング後、80℃、175℃及び300℃の温度の3つのホットプレートでそれぞれ1分ずつ加熱した。ベーキング後、フィルムをFTIRで測定して、シラノール(SiOH)対メチル(CH3)の面積比を測定した。観察された(Si)OH対CH3の比は約4であり、3kÅフィルムのRIは1.41であった。シラノール含有量の減少は、フィルムのFTIRによりよく示されている(図1を参照されたい)。図1は、シラノール含有量が以下の減少順:ベーキング後、エントリー1>>>ベーキング後、エントリー2>硬化後、エントリー1〜硬化後、エントリー2であることを示す。
次いでフィルムコーティング化ウエハをそれぞれ、流動窒素下、425℃で1時間、さらに硬化させた。得られたフィルムのSi(OH)対CH3比は2である。
Figure 0004662718
本発明を好ましい態様を参照して特記及び記載してきたが、当業者には、本発明の趣旨及び範囲を逸脱することなく種々の変更及び変形が可能であることは容易に理解されよう。請求の範囲は、開示された態様、上記代替案及び全ての等価物を網羅するため理解されるべきものである。
本発明の態様
1.ナノポーラスシリカ誘電体フィルムの製造法であって、
(a)珪素含有プレポリマー、ポロゲン並びに、オニウム化合物及び求核性試薬からなる群から選択される金属イオンを含まない触媒を含む組成物を製造する;
(b)前記組成物で基板をコーティングしてフィルムを形成する;
(c)前記組成物を架橋させて、ゲル化フィルムを製造する、次いで;
(d)前記ポロゲンの実質的に全てを除去するのに効果的な温度及び時間で、前記ゲル化フィルムを加熱する、各段階を含む前記方法。
2.前記ナノポーラスシリカ誘電体フィルムが、前記フィルムの容積をベースとして約5%〜80%の細孔空隙容積を有する、1に記載の方法。
3.得られた前記ナノポーラスシリカ誘電体フィルムが約3以下の誘電率を有する、1に記載の方法。
4.前記ナノポーラスシリカ誘電体フィルムが、約1nm〜約30nmの範囲の平均孔径を有する、1に記載の方法。
5.前記触媒が、アンモニウム化合物、アミン、ホスホニウム化合物及びホスフィン化合物からなる群から選択される、1に記載の方法。
6.前記触媒が、テトラオルガノアンモニウム化合物及びテトラオルガノホスホニウム化合物からなる群から選択される、1に記載の方法。
7.前記触媒が、酢酸テトラメチルアンモニウム、水酸化テトラメチルアンモニウム、酢酸テトラブチルアンモニウム、トリフェニルアミン、トリオクチルアミン、トリドデシルアミン、トリエタノールアミン、酢酸テトラメチルホスホニウム、水酸化テトラメチルホスホニウム、トリフェニルホスフィン、トリメチルホスフィン、トリオクチルホスフィン及びこれらの組み合わせからなる群から選択される、1に記載の方法。
8.前記組成物がさらに、前記組成物の架橋を加速する非金属求核性添加剤を含む、1に記載の方法。
9.前記組成物がさらに、前記組成物の架橋を加速する求核性添加剤を含み、前記求核性添加剤は、ジメチルスルホン、ジメチルホルムアミド、ヘキサメチル亜リン酸トリアミド、アミン類及びこれらの組み合わせからなる群から選択される、1に記載の方法。
10.前記組成物がさらに、水対Siのモル比約0.1:1〜約50:1で水を含む、1に記載の方法。
11.前記組成物が、式Iのケイ素含有プレポリマーを含む、1に記載の方法。
12.前記組成物が、式Iに従ったプレポリマーを縮合することによって形成したポリマーを含み、ここで前記ポリマーの数平均分子量は約150〜約30,000amuを変動する、11に記載の方法。
13.前記組成物は、アセトキシシラン、エトキシシラン、メトキシシラン及びこれらの組み合わせからなる群から選択されるケイ素含有プレポリマーを含む、1に記載の方法。
14.前記組成物は、テトラアセトキシシラン、C1〜約C6アルキルまたはアリールトリアセトキシシラン、及びこれらの組み合わせからなる群から選択されるケイ素含有プレポリマーを含む、1に記載の方法。
15.前記トリアセトキシシランがメチルトリアセトキシシランである、14に記載の方法。
16.前記組成物が、テトラキス(2,2,2-トリフルオロエトキシ)シラン、テトラキス(トリフルオロアセトキシ)シラン、テトライソシアナトシラン、トリス(2,2,2-トリフルオロエトキシ)メチルシラン、トリス(トリフルオロアセトキシ)メチルシラン、メチルトリイソシアナトシラン及びこれらの組み合わせからなる群から選択されるケイ素含有プレポリマーを含む、1に記載の方法。
17.前記ポロゲンが、約150℃〜約450℃の範囲の沸点、昇華温度または分解温度を有する、1に記載の方法。
18.前記段階(c)の架橋を、段階(d)の加熱温度よりも低い温度で実施する、1に記載の方法。
19.段階(c)が、約100℃〜約250℃の範囲の温度で、約30秒〜約10分の範囲の時間、前記フィルムを加熱することを含む、1に記載の方法。
20.段階(d)が、約150℃〜約450℃の範囲の温度で、約30秒〜約1時間の範囲の時間、前記フィルムを加熱することを含む、1に記載の方法。
21.前記ポロゲンが、約100〜約50,000amuの範囲の分子量を有する、1に記載の方法。
22.前記ポロゲンが、ポリアルキレンオキシド、ポリアルキレンオキシドのモノエーテル、ポリアルキレンオキシドのジエーテル、ポリアルキレンオキシドのビスエーテル、脂肪族ポリエステル、アクリルポリマー、アセタールポリマー、ポリ(カプロラクトン)、ポリ(バレラクトン)、ポリ(メチルメタクリレート)、ポリ(ビニルブチラール)及びこれらの組み合わせからなる群から選択される、1に記載の方法。
23.前記ポロゲンが、酸素原子とC1〜約C6アルキルエーテル部分との間にC1〜約C6アルキル鎖を含み、且つ前記アルキル鎖が置換または非置換である、ポリアルキレンオキシドモノエーテルを含む、1に記載の方法。
24.前記ポリアルキレンオキシドモノエーテルが、ポリエチレングリコールモノメチルエーテルまたはポリプロピレングリコールモノブチルエーテルである、23に記載の方法。
25.前記ポロゲンが、前記組成物の約1〜約50重量%の量で組成物に含まれる、1に記載の方法。
26.前記組成物がさらに溶媒を含む、1に記載の方法。
27.前記組成物がさらに、組成物の約10〜約95重量%の範囲の量で溶媒を含む、1に記載の方法。
28.前記組成物がさらに、約50〜約250℃の範囲の沸点を有する溶媒を含む、1に記載の方法。
29.前記組成物がさらに、炭化水素、エステル、エーテル、ケトン、アルコール、アミド及びこれらの組み合わせからなる群から選択される溶媒を含む、1に記載の方法。
30.前記溶媒が、ジ-n-ブチルエーテル、アニソール、アセトン、3-ペンタノン、2-ヘプタノン、酢酸エチル、酢酸n-プロピル、酢酸n-ブチル、乳酸エチル、エタノール、2-プロパノール、ジメチルアセトアミド、酢酸プロピレングリコールメチルエーテル及びこれらの組み合わせからなる群から選択される、26に記載の方法。
31.1に記載の方法により基板上に製造したナノポーラス誘電体フィルム。
32.31に記載のナノポーラス誘電体フィルムを含む半導体デバイス。
33.集積回路である、32に記載の半導体デバイス。
34.ケイ素含有プレポリマー、ポロゲン、並びにオニウム化合物及び求核性試薬からなる群から選択される触媒を含む組成物。
35.前記触媒が金属イオンを含まない、34に記載の組成物。
36.さらに溶媒を含む、34に記載の組成物。
37.前記金属イオンを含まない触媒が、酢酸テトラメチルアンモニウムである、35に記載の組成物。
38.前記ケイ素含有プレポリマーが、アセトキシベースの離脱基の組み合わせを含む、34に記載の組成物。
39.アセトキシベースの離脱基の前記組み合わせが、テトラアセトキシシランとメチルトリアセトキシシランを含む、38に記載の組成物。
40.前記ポロゲンが、ポリエチレングリコールモノメチルエーテルを含む、34に記載の組成物。
41.前記ポロゲンがポリプロピレングリコールジメチルエーテルを含む、34に記載の組成物。
42.前記ポロゲンがポリエチレングリコールジメチルエーテルを含む、34に記載の組成物。
43.前記ポロゲンがポリプロピレングリコールモノブチルエーテルを含む、34に記載の組成物。
44.35に記載の前記組成物を含む、安定なナノポーラスフィルム形成用の前駆体。
45.35に記載の前記組成物を含むスピン-オン組成物。
46.45に記載の前記スピン-オン組成物を含むフィルム。
47.オニウム化合物及び求核性試薬からなる群から選択される金属イオンを含まない触媒とケイ素ポリマーとを含む、安定なナノポーラスフィルム。
48.前記フィルムが約10ナノメートル以下の平均孔径を有する、47に記載の安定なナノポーラスフィルム。
49.前記フィルムが約5ナノメートル以下の平均孔径を有する、47に記載の安定なナノポーラスフィルム。
50.前記金属イオンを含まない触媒が酢酸テトラメチルアンモニウムである、47に記載の安定なナノポーラスフィルム。
51.前記ケイ素含有プレポリマーが、アセトキシベースの離脱基の組み合わせを含む、47に記載の安定なナノポーラスフィルム。
52.アセトキシベースの離脱基の前記組み合わせが、テトラアセトキシシランとメチルトリアセトキシシランとを含む、47に記載の安定なナノポーラスフィルム。
53.オニウムイオンまたは求核性試薬をケイ素含有プレポリマーとポロゲンとに添加する段階を含む、多孔質シリカフィルムが形成する温度を下げる方法。
図1は、シラノール含有量が、ベーキング後、エントリー1>>>ベーキング後、エントリー2>硬化後、エントリー1〜硬化後、エントリー2の減少順である、実施例8のフィルムのFTIRスペクトルを示す。

Claims (7)

  1. ナノポーラスシリカ誘電体フィルムの製造法であって、
    (a)珪素含有プレポリマー、ポロゲン並びに、酢酸テトラメチルアンモニウム、酢酸テトラブチルアンモニウム、酢酸テトラメチルホスホニウム及びこれらの組み合わせからなる群から選択される金属イオンを含まない触媒、を含む組成物を製造する;
    (b)前記組成物で基板をコーティングしてフィルムを形成する;
    (c)前記組成物を架橋させて、ゲル化フィルムを製造する、次いで;
    (d)前記ポロゲンの実質的に全てを除去するのに効果的な温度及び時間で、前記ゲル化フィルムを加熱する、各段階を含む前記方法。
  2. 前記組成物がさらに、前記組成物の架橋を加速する非金属求核性添加剤を含む、請求項1に記載の方法。
  3. 前記組成物がさらに、水対Siのモル比約0.1:1〜約50:1で水を含む、請求項1に記載の方法。
  4. ケイ素含有プレポリマー、ポロゲン、並びに酢酸テトラメチルアンモニウム、酢酸テトラブチルアンモニウム、酢酸テトラメチルホスホニウム及びこれらの組み合わせからなる群から選択される金属イオンを含まない触媒、を含む組成物から、請求項1に記載の方法によって製造されるナノポーラスシリカ誘電体フィルム。
  5. 前記組成物がスピン−オン組成物である、請求項4に記載のナノポーラスシリカ誘電体フィルム。
  6. 段階(c)が、段階(d)の加熱温度より低い温度で実施される架橋を含む、請求項1に記載の方法。
  7. 前記金属イオンを含まない触媒が酢酸テトラメチルアンモニウムである、請求項4に記載のナノポーラスシリカ誘電体フィルム。
JP2003585171A 2002-04-10 2002-04-10 集積回路用途用の低金属多孔質シリカ誘電体 Expired - Fee Related JP4662718B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2002/015256 WO2003088344A1 (en) 2002-04-10 2002-04-10 Low metal porous silica dielectric for integral circuit applications

Publications (2)

Publication Number Publication Date
JP2005522878A JP2005522878A (ja) 2005-07-28
JP4662718B2 true JP4662718B2 (ja) 2011-03-30

Family

ID=29247998

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003585171A Expired - Fee Related JP4662718B2 (ja) 2002-04-10 2002-04-10 集積回路用途用の低金属多孔質シリカ誘電体

Country Status (4)

Country Link
US (1) US7381441B2 (ja)
JP (1) JP4662718B2 (ja)
AU (1) AU2002309807A1 (ja)
WO (1) WO2003088344A1 (ja)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029826B2 (en) * 2000-06-23 2006-04-18 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
JP2004161875A (ja) * 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁間膜及び半導体装置
JP2004161876A (ja) 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
JP3884699B2 (ja) 2002-11-13 2007-02-21 信越化学工業株式会社 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
JP4139710B2 (ja) 2003-03-10 2008-08-27 信越化学工業株式会社 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP2004269693A (ja) 2003-03-10 2004-09-30 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物及びその製造方法、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP2004292641A (ja) 2003-03-27 2004-10-21 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP2004307694A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
JP2004307692A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US8053159B2 (en) * 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
DE10361632A1 (de) * 2003-12-30 2005-07-28 Institut für Neue Materialien Gemeinnützige GmbH Substrate mit nanoporöser, kohlenstoffhaltiger Beschichtung, Verfahren zu deren Herstellung und deren Verwendung
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7049247B2 (en) 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US7153783B2 (en) * 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
US7015061B2 (en) * 2004-08-03 2006-03-21 Honeywell International Inc. Low temperature curable materials for optical applications
KR20070060117A (ko) * 2004-09-15 2007-06-12 허니웰 인터내셔널 인코포레이티드 처리제 물질
CN101291876A (zh) * 2005-10-19 2008-10-22 松下电器产业株式会社 金属氧化膜的形成方法、金属氧化膜及光学电子设备
JP2007134420A (ja) * 2005-11-09 2007-05-31 Ulvac Japan Ltd 疎水性多孔質シリカ材料による構造物内部の埋め込み方法
FR2918997B1 (fr) * 2007-07-20 2010-12-03 Commissariat Energie Atomique Procede de preparation de couches minces de materiaux dielectriques nanoporeux.
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US8947207B2 (en) 2008-04-29 2015-02-03 Quake Global, Inc. Method and apparatus for a deployable radio-frequency identification portal system
KR20110021951A (ko) * 2008-05-26 2011-03-04 바스프 에스이 다공성 물질의 제조 방법 및 그 방법으로 제조된 다공성 물질
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US9477922B2 (en) * 2010-09-01 2016-10-25 Quake Global, Inc. UHF RFID wristband with a long read range
US8965482B2 (en) 2010-09-30 2015-02-24 Nevro Corporation Systems and methods for positioning implanted devices in a patient
US20120237676A1 (en) * 2011-03-14 2012-09-20 Intermolecular, Inc. Sol-gel based formulations and methods for preparation of hydrophobic ultra low refractive index anti-reflective coatings on glass
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9841492B2 (en) 2013-02-25 2017-12-12 Quake Global, Inc. Ceiling-mounted RFID-enabled tracking
CA2902912C (en) 2013-02-26 2022-02-01 Quake Global, Inc. Methods and apparatus for automatic identification wristband
AU2015264561B2 (en) 2014-05-20 2020-02-20 Nevro Corporation Implanted pulse generators with reduced power consumption via signal strength/duration characteristics, and associated systems and methods
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US10300277B1 (en) 2015-12-14 2019-05-28 Nevro Corp. Variable amplitude signals for neurological therapy, and associated systems and methods
AU2019214966A1 (en) 2018-01-30 2020-08-20 Nevro Corp. Efficient use of an implantable pulse generator battery, and associated systems and methods
US11058875B1 (en) 2018-09-19 2021-07-13 Nevro Corp. Motor function in spinal cord injury patients via electrical stimulation, and associated systems and methods
US11782033B2 (en) 2019-01-18 2023-10-10 The Regents Of The University Of Michigan Microscale collector-injector technologies for passive environmental vapor sampling and focused injection
US11590352B2 (en) 2019-01-29 2023-02-28 Nevro Corp. Ramped therapeutic signals for modulating inhibitory interneurons, and associated systems and methods
EP4010441B1 (en) * 2019-08-09 2023-09-06 Merck Patent GmbH Low dielectric constant siliceous film manufacturing composition and methods for producing cured film and electronic device using the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140746A (en) 1995-04-03 2000-10-31 Seiko Epson Corporation Piezoelectric thin film, method for producing the same, and ink jet recording head using the thin film
US6063714A (en) 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
WO1998047177A1 (en) * 1997-04-17 1998-10-22 Alliedsignal Inc. Nanoporous dielectric films with graded density and process for making such films
US6126733A (en) 1997-10-31 2000-10-03 Alliedsignal Inc. Alcohol based precursors for producing nanoporous silica thin films
US6022812A (en) 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6037275A (en) 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
TWI230712B (en) 1998-09-15 2005-04-11 Novartis Ag Polymers
KR100618304B1 (ko) * 1998-09-25 2006-08-31 쇼꾸바이 카세이 고교 가부시키가이샤 낮은 유전상수를 지니는 실리카-포함 필름을 형성하기위한 코팅 액체 및 그의 필름으로 코팅된 기질
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6673847B2 (en) * 2002-04-01 2004-01-06 Rohm And Haas Company Water immiscible porogen removal process

Also Published As

Publication number Publication date
AU2002309807A1 (en) 2003-10-27
WO2003088344A1 (en) 2003-10-23
US7381441B2 (en) 2008-06-03
JP2005522878A (ja) 2005-07-28
US20050106376A1 (en) 2005-05-19

Similar Documents

Publication Publication Date Title
JP4662718B2 (ja) 集積回路用途用の低金属多孔質シリカ誘電体
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
US7381442B2 (en) Porogens for porous silica dielectric for integral circuit applications
JP4125637B2 (ja) 低誘電率材料及びその製造方法
JP3571004B2 (ja) 半導体素子用超低誘電多孔性配線層間絶縁膜およびその製造方法ならびにそれを用いた半導体素子
KR100671850B1 (ko) 다공질 필름의 개질 방법 및 개질된 다공질 필름 및 그 용도
EP1327260A2 (en) Simplified method to produce nanoporous silicon-based films
TWI400754B (zh) A precursor film composition of a porous film and a method for producing the same, a porous film and a method for producing the same, and a semiconductor device
JP2005517784A (ja) オルガノシロキサン
EP1412434B1 (en) Siloxane resins
KR100645682B1 (ko) 유기실록산 수지 및 이를 이용한 절연막
US7148263B2 (en) Hybrid inorganic/organic low k dielectric films with improved mechanical strength
US20050136687A1 (en) Porous silica dielectric having improved etch selectivity towards inorganic anti-reflective coating materials for integrated circuit applications, and methods of manufacture
JP4261297B2 (ja) 多孔質フィルムの改質方法、改質された多孔質フィルム及びその用途
KR20050016505A (ko) 유기실록산
JP2005536026A (ja) ナノ多孔質材料およびその形成方法
KR20050090978A (ko) 저 k 재료용 중간층 접착 촉진제
KR100490853B1 (ko) 반도체의 절연막 형성용 나노 기공 형성 물질 및 이를포함하는 저유전 절연막
TW200306282A (en) New porogens for porous silica dielectric for integral circuit applications
TW200403764A (en) Low metal porous silica dielectric for integral circuit applications

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080528

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080618

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080528

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20081016

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110104

R150 Certificate of patent or registration of utility model

Ref document number: 4662718

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140114

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees