JP4472972B2 - Cmosデバイスおよびその製造方法 - Google Patents

Cmosデバイスおよびその製造方法 Download PDF

Info

Publication number
JP4472972B2
JP4472972B2 JP2003396341A JP2003396341A JP4472972B2 JP 4472972 B2 JP4472972 B2 JP 4472972B2 JP 2003396341 A JP2003396341 A JP 2003396341A JP 2003396341 A JP2003396341 A JP 2003396341A JP 4472972 B2 JP4472972 B2 JP 4472972B2
Authority
JP
Japan
Prior art keywords
layer
soi wafer
region
gate
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003396341A
Other languages
English (en)
Other versions
JP2004214628A (ja
Inventor
ヒーミョング・パーク
ビヨング・エイチ・リー
ポウル・ディー・アグネロ
ドミニク・ジェイ・シェーピス
ガーヴァム・ジー・シャヒディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2004214628A publication Critical patent/JP2004214628A/ja
Application granted granted Critical
Publication of JP4472972B2 publication Critical patent/JP4472972B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78612Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、一般的にはCMOSデバイスに関し、特に、超薄膜SOI上の隆起ソース/ドレイ層を有するCMOSデバイスのプロセスに関する。
CMOS開発の間に、シリコン選択エピタキシを用いる従来の隆起ソース/ドレイン(RSD)層の問題が認められた。シリコンの消費量が少ないNiSi構成のような一部の解決法が開発されているが、これらは熱安定性不良のような様々な制約を有している。さらに、薄膜SOI(silicon on insulator)基板上のRSD層を有する高性能CMOSデバイスの従来のプロセスは以下の問題を免れない。まず、シリコン選択エピタキシを用いてRSDデバイスを形成するという難問が存在する。RSDの従来のプロセスは、高温(典型的に>825℃)での選択エピタキシャル成長と、ドープ・ソース/ドレイン(S/D)表面の前洗浄プロセスの際のケミカルエッチング/クリーンプロセスとを含む。このエピタキシャル(エピ)プロセスは、超薄SOI上のRSDを有するCMOSデバイスの製造を妨げる様々な技術上の問題の原因であると認められている。第1に、高温サイクルは、エピタキシャル工程の前にチャネル領域に既に導入されているドーパント(ソース/ドレイン拡張およびハロー)の過渡的増速拡散(TED)を生じさせる。これは、しきい値電圧(Vth)ロールオフのような重大な短チャネル効果を引き起こすと理解されている。
第2に、エピタキシャル層と基板上の既存のソース/ドレイン領域との間の界面は、エピタキシャル・プロセスの後で形成されるシリサイド層のかなり大きい変動性と均一性の欠乏とを生じさせ、そして、S/D抵抗の増加を生じさせ得る。第3に、この前洗浄プロセスは、同様に酸化物で形成される薄い浅いトレンチ分離(STI)領域にダメージを与える可能性がある。第4に、エピタキシャル層の残部(ファセット(facet))が、エピタキシャル・プロセスの際に側壁スペーサにおいて形成され、エピタキシャル・プロセスの後で打込まれることになるソース/ドレイン・ドーパントの可変分布の一因となり、デバイス性能に否定的な影響を与えることがある。総体的に、従来のエピタキシャル・プロセスは、CMOSデバイスのプロセスにおいて複雑な界面化学を伴う。さらに、当該産業におけるCMOS生産にとって従来のエピタキシャル・プロセスを実用的にすることは極めて困難であった。
したがって、従来のプロセスおよび構造の制約を克服する、超薄膜SOI上の隆起ソース/ドレイン層を有する新規なCMOSデバイスおよびその製造方法に対する必要性が存在する。
上述の事項にかんがみて、本発明は、埋込酸化物(BOX)層と、BOX層の上のSOIウェハと、SOIウェハの上のゲート誘電体と、ゲート誘電体の上のゲート領域と、付着材料を含みSOIウェハに隣接する打込層と、打込層およびSOIウェハの上のソース/ドレイン領域と、ゲート誘電体の上部表面より高い上部表面を有し、ソース/ドレイン領域に隣接する浅いトレンチ分離(STI)領域と、を備える隆起ソース/ドレインSOIトランジスタ・デバイスを提供する。このデバイスは、さらに、ゲート領域を囲む少なくとも1つの絶縁スペーサを備える。SOIウェハは所定の厚さを有し、ソース/ドレイン領域はSOIウェハの所定の厚さより大きい厚さを有する。さらに、STI領域はほぼ丸いコーナを有し、STI領域はソース/ドレイン領域の境界を成す。また、打込層は、ポリシリコンおよびアモルファスシリコンのうちの一方を含む。加えて、ソース/ドレイン領域はエピタキシャル関連欠陥がない。言い換えれば、ソース/ドレイン領域は、非エピタキシャル材料を含む。代替として、本発明の実施形態は、埋込酸化物(BOX)層と、所定の厚さを有し、BOX層の上のSOIウェハと、SOIウェハの上のゲート構造と、BOX層の上の第1の高さに配され、ゲート構造とSOIウェハとの間に存在するゲート誘電体と、付着材料を含みSOIウェハに隣接する打込層と、SOIウェハの所定の厚さより大きい厚さを有し、打込層およびSOIウェハ内に存在するソース/ドレイン領域と、BOX層の上に配されほぼ丸いコーナを有する浅いトレンチ分離(STI)領域であって、STI領域の上部表面がBOX層の上で第1の高さより高いSTI領域と、を備えるCMOSデバイスを提供する。CMOSデバイスは、さらに、ゲート構造を囲む少なくとも1つの絶縁スペーサを備える。SOIウェハの所定の厚さは55ナノメートルより薄く、ソース/ドレイン領域の厚さは200〜300オングストロームの範囲内である。さらに、打込層はポリシリコンおよびアモルファスシリコンのうちの一方を含む。
CMOSデバイスを製造する方法は、所定の厚さを有するSOIウェハを、埋込酸化物(BOX)基板の上に付着する工程と、SOIウェハの上にゲート誘電体を形成する工程と、BOX基板の上に、ほぼ丸いコーナを有するよう構成された浅いトレンチ分離(STI)領域を形成する工程と、ゲート誘電体の上にゲート構造を形成する工程と、SOIウェハの上に打込層を付着する工程と、SOIウェハおよび打込層内でN型ドーパント打込およびP型ドーパント打込のうちの一方を実行する工程と、デバイスを加熱して、打込層およびSOIウェハから、SOIウェハの所定の厚さより大きい厚さを有するソース/ドレイン領域を形成する工程と、を含み、ゲート誘電体はSTI領域より低く配される。
この方法は、さらに、ゲート構造を囲む少なくとも1つの絶縁スペーサを形成する工程を含む。また、打込層は、ポリシリコンおよびアモルファスシリコンのうちの一方を含む。加えて、ゲート構造は、SOIウェハの上に第1ゲート・ポリシリコン層を付着する工程と、第1ゲート・ポリシリコン層の上に酸化物パッドを付着する工程と、酸化物パッドの上に犠牲窒化物層を付着する工程と、犠牲窒化物層の上に犠牲第2ゲート・ポリシリコン層を付着する工程と、によって形成される。SOIウェハの所定の厚さは55ナノメートルより薄く、ソース/ドレイン領域の厚さは200〜300オングストロームの範囲内である。
本発明は、全てが高い使用可能度および製造可能度を有する、超薄SOI上低温RSD形成,ゲート・ポストドーピング,S/Dおよびポリシリコン・ドーピングの分離,およびゲート・スタック高さ低減のための固有の解決法を提供する。従来のデバイスおよびプロセスに対する本発明の種々の顕著な特徴が存在する。第1に本発明は、STI表面とゲート誘電体界面との間に高度差(ステップ)を与える。また、本発明によれば、従来のデバイスに固有の種々のエピタキシャルベースのRSD特性、例えば、ファセット,ポリシリコン粒子(polysilicon grain),酸素の界面濃度(interfacial concentration),ポリシリコン・ゲート上での選択エピタキシの横方向のオーバーグロース(overgrowth)などが存在しない。さらに、本発明によれば、非エピタキシャルRSDポリシリコン層が、STI領域と活性領域との上に全体的に形成される。
本発明は、以下の利点を達成する。本発明は、エピに依存しないでRSDを形成することにより、超薄SOI上CMOSのための選択エピベースのRSDデバイスの基本的な問題の全てを解決する。同時に、本発明は、ソース/ドレイン上ポリシリコンをバッファ層として用いて、ポリシリコン・ゲートをポストドープする。また、本発明は、RSDポリシリコン平坦化と同一の化学機械研磨(CMP)を用いてポリシリコン・ゲート高さの低減をもたらす。さらに、本発明は、高性能論理CMOSデバイス製造のために従来のゲート構造を積極的にスケールして超薄SOI上RSDを実現する。さらに、本発明は、階段状のSTIとSTI表面をマーカとして用いるポリシリコン・エッチバックとによって形成されたソース/ドレイン電極を分離する方法を提供する。加えて、本発明は、パッド酸化物エッチングおよびライナ酸化の際にコーナを丸くすることにより、STI端部のステップ状コーナの周囲のポリシリコン・レールに付随する問題を解決する方法を提供する。
上述したように、従来のプロセスおよび構造の制約を克服する、超薄膜SOI上の隆起ソース/ドレイン層を有する新規なCMOSデバイスおよびその製造方法に対する必要性が存在する。本発明は、従来のプロセスで使用されたシリコン選択エピタキシではなく、ポリシリコン付着と新たに設計されたエッチバック・プロセスの使用とによって隆起ソース/ドレイン層を有する極薄SOI上のスケールダウンCMOS構造を形成する方法を提供する。
理解の容易のために、CMOSデバイス1,2の2分の1だけが図示される(例えば、ソース領域またはドレイン領域のいずれかのみが示される)。図15(a)および15(b)は、全構造を示す。当業者は、構造の鏡像が実際にはデバイス1,2のそれぞれについて存在している(例えば、対応するドレインまたはソース領域が、それぞれ、ゲート構造40の向こう側に存在する)ことを理解できる。本発明は、およそ以下のように動作する。図15(a)に示すNFETデバイス1を例として考えると、電気信号がソース/ドレイン領域79(a),79(b)で入力し、チャネル領域20を通過して相補形ドレイン/ソース領域79(a),79(b)に達する。上述したように、CMOSデバイス1,2の2分の1だけが図1(a)〜14(b)に示される。CMOSデバイス1,2は、埋込酸化物層10の上に形成されたほぼ丸いコーナ37を有するSTI領域35とゲート誘電体25とを有し、ゲート誘電体25は、ゲート40とソース/ドレイン領域79(a),79(b)との間の導電バリアとして機能する。本発明の固有の特徴は、STI領域35の上部表面36がゲート誘電体界面25より構造上高いことである。これは有益である、というのは、プロセスの際に、高いSTI領域35が、後続のエッチバック・プロセスが打込層65の大部分を除去した後でさえ、打込層65の一部が残留するのを可能にするからである。これは、基本的に非エピタキシャル・ベースのプロセスを可能にし、非エピタキシャル・ベースのプロセスは、ドーパントに対するTED効果を除去し、さらに、しきい値電圧(Vth)ロールオフのような短チャネル効果を低減させるので、有益である。加えて、ほぼ丸いSTIコーナ37は、ポリシリコン付着が行われた後にSTI上に残留するポリシリコン残部の量を低減し、それによってデバイス性能を向上させる。
図面、特に図1(a)〜18を参照すると、本発明の好適な実施形態が示される。本発明によって与えられるNFETのためのプロセス工程の進行が図1(a)〜15(a)に詳述される。一方、本発明によって与えられるPFETのためのプロセス工程の進行が図1(b)〜15(b)に詳述される。具体的には、図1(a)(NFET)および1(b)(PFET)に示されるように、好ましくは厚さが55nmより薄い超薄SOIウェハ20(例えばチャネル領域)を埋込酸化物領域10の上に配する。その後、酸化物パッド25および窒化物層30をSOIウェハ20の上に順次付着させる。酸化物パッド25は、(図5(a)および5(b)に示される)チャネル領域26を保護するために用いられる犠牲層であり、また、酸化物パッド25のウェットエッチング除去の際に(図2(a)および2(b)に示す)STI領域35の丸いコーナの形成を促進するために用いられる。エッチング・プロセスの際に続いて除去されることになる窒化物層30の厚さは、好ましくは、後続のRSD層の目標厚さとほぼ等しくなるように寸法合わせされて構成され、好ましくは、約200〜300オングストロームである。
図2(a)(NFET)および2(b)(PFET)に示されるプロセスの次の工程において、窒化物/酸化物/SOIスタック15をマスク・エッチングした後にSTI領域35を形成する。このプロセスは、図4(a)および4(b)に続いて示されるSTIの丸いコーナ37の形成を促進するSTIライナ酸化を含む。
次に、図3(a)(NFET)および3(b)(PFET)に示すように、ウェットエッチング・プロセスを用いて窒化物層30を除去し、STI領域35を用いてステップを構成する。結果として、STI表面35がSOI表面20より高くなる。その後、ウェットエッチング・プロセスを用いてパッド酸化物25を除去し、同時に、図4(a)(NFET)および4(b)(PFET)に最もよく示されるように、STI35のコーナ37を丸くする。STI35のコーナ37を丸くするこのプロセスは、起こり得るポリシリコン・レール問題がプロセスの次の工程で発生するのを阻止する。ポリシリコン・レール問題は、ポリシリコン反応性イオンエッチング(RIE)の後にSTI境界の周囲にゲート・ポリシリコンの残部を残してデバイス性能に否定的な影響を与えることなどである。したがって、STI35のコーナ37を丸くすることにより、本発明は、丸いSTI領域を有さない従来のデバイスより好ましいデバイス性能を実現する。プロセスのこの段階において、SOI表面20より上方のSTI領域35のステップ高さは、好ましくは約300オングストロームである。
図5(a)(NFET)および5(b)(PFET)は、(図示しない)テトラエチルオルソシリケート(TEOS)ハードマスクを用いてポリシリコン・ゲート・スタック40をパターニングする本発明の次の工程を説明する。ポリシリコン・ゲート・スタック40は、後の工程においてその高さが減少するよう構成される。ポリシリコン・ゲート・スタック40は、ポリシリコン層42を付着することによって形成され、好ましくは約100nmという目標スケールダウン高さを有する。次に、薄い酸化物層44,窒化物層46,およびバッファ・ダミー・ポリシリコン層48を順次付着する。次に、RIEプロセスを実行し、ゲート・スタック40を形成する。好ましくは約300オングストロームのSTI35が、STI35の境界においてポリシリコン薄化(thinning)を生じさせる場合には、ポリシリコン42付着の後にCMPプロセスを用いてスタック40を任意に平坦化してもよく、次に、薄い酸化物44,窒化物46,およびバッファ・ダミー・ポリシリコン48を付着して、次に、RIEプロセスを実行してSTI35の境界上のゲート・スタック40を形成してもよい。
図6(a)(NFET)および6(b)(PFET)に示すように、プロセスの次の工程は、ゲート再酸化であり、ここにおいて、SOIウェハ20,STI領域35,およびポリシリコン・ゲート・スタック40の上に酸化物またはオキシナイトライド絶縁体層50を付着する。さらに、図6(a)に示すように、N拡張/Nハロー打込がSOIウェハ20内で生じる。領域51は結果として生じたN型無アニール・ドーピング領域を表し、一方領域52は結果として生じたP型無アニール・ドーパント領域を表す。その後、低温酸化物(LTO)キャップ55を酸化物/オキシナイトライド層50の上に付着する。LTOキャップ55は図7(a)(NFET)および7(b)(PFET)に最もよく示されている。任意で、ポリシリコン・ゲート40の側面に沿って窒化物スペーサ60を形成してもよい。図7(b)に見られるように、P拡張/ハロー打込がSOIウェハ20内で生じる。領域53は結果として生じたP型無アニール・ドーピング領域を表し、一方領域54は結果として生じたN型無アニール・ドーパント領域を表す。
図8(a)(NFET)および8(b)(PFET)は、窒化物スペーサ60が大きくなる、ラピッド・サーマル化学的蒸着(RTCVD)を用いる窒化物スペーサ60のさらなる形成プロセスを説明する。さらに、スペーサ60とSOIウェハ20との間に構成された部分と、スペーサ60とポリシリコン・ゲート・スタック40との間に構成された部分とを除いて、LTOキャップ55および絶縁体層50を除去する。図9(a)(NFET)および9(b)(PFET)に示されるプロセスの次の工程において、好ましくは約620℃以下の低温で、NFETデバイス1およびPFETデバイス2の上にポリシリコンの層65を(例えば非エピタキシャルに)付着する。チャネル20へのドーパント・マイグレーションを阻止するポリシリコン層65を、減圧CVD(LPCVD)を用いて付着する。代わりに、(図示しない)アモルファス・シリコン層を、好ましくは低温でデバイス1,2の上に付着してもよい。こうして、従来のソース/ドレイン構造において用いられる従来のエピタキシャル成長手法と対照的なコンフォーマル付着手法を用いて、ドープ・ソース/ドレイン導体65を形成する。これは、エピタキシャル欠陥のないコンフォーマル付着層65を可能にする。
次に、図10(a)(NFET)および10(b)(PFET)において、CMPプロセスを用いて(例えば当業者に知られているように黒曜石(Obsidian)CMPプロセスを用いてもよい)ポリシリコン層65を平坦化し、ポリシリコン・ゲート40の上の窒化物層46を露出させる。図5(a)および5(b)において示したプロセスの際に述べた任意のCMPプロセスを用いない場合には、図3(a)および3(b)に示したプロセスの際に生み出されたSTIステップ35に起因して、現行の黒曜石CMPプロセスによって窒化物表面46が至る所で均一に露出することはないかもしれない。この場合には、窒化物46の上のダミー・ポリシリコン層48が露出し、かつ平坦化が達成されたときに黒曜石CMPプロセスを任意に終了してもよい。その後、ポリシリコン層48のショート・エッチバック・プロセスを実行して窒化物表面46を露出させる。くり返すと、図5(a)および5(b)に示した工程で述べた任意のCMPプロセスを用いる場合には、このエッチバック・プロセスは必要ない。上述した好ましいプロセスそして任意のプロセスのいずれを用いるかに関係なく、結果として生じた構造を図10(a)(NFET)および10(b)(PFET)に示し、ここで、ゲート構造40の窒化物層46の上のダミー・ポリシリコン層48が黒曜石CMPプロセスによって除去され、結果として、ポリシリコン・ゲート40の高さが望ましい目標高さへ低減され、同時に、S/Dブロック・ポリシリコン層65が形成される。
プロセスの次の工程において、本発明によれば、デバイス1,2にゲート・ポストドーピング打込みを実行する。具体的には、図11(a)(NFET)および11(b)(PFET)に示すように、デバイス1,2は、中性化学種によるプレアモルファイゼーション(preamorphization)プロセスを受け、Nゲート・ドーピングおよびPゲート・ドーピングのためのN型ドーパントおよびP型ドーパントがそれぞれ続く。こうして、S/D領域上の平坦化ポリシリコン65は、ゲート・ドーピングをソース/ドレイン・ドーピングから分離させるバッファとして機能する。(領域51,54に加えて)領域66,67は、N型無アニール・ドーピング領域を表し、一方、(領域52,53に加えて)領域68,69はP型無アニール・ドーピング領域を表す。その後、図12(a)(NFET)および12(b)(PFET)に示すように、ポリシリコン層65は、STI酸化物表面35上で停止するエッチバック(ドライエッチングまたは代わりに時限ウェットエッチング)プロセスを受ける。残留ポリシリコン65は、隆起ソース/ドレイン領域を含む。さらに、ポリシリコンRSD65を低温で付着したので、打込拡張ドーパントおよびハロー・ドーパントは著しい熱サイクルを受けない。したがって、上述したようなエピタキシャルベースのRSDによるTEDの問題は回避される。さらに、本発明は、STI領域35とゲート誘電体25界面との間に高度差(ステップ)を与える。すなわち、STI領域35の上部表面36は、ゲート誘電体界面25より構造上高い。これは有益である。というのは、エッチバック・プロセスが大部分のポリシリコン層65を除去した後でさえ、高いSTI領域35がポリシリコン層65の一部が残留するのを可能にしてドーパント打込のための非エピタキシャル層を与えるからである。
図13(a)(NFET)において、NFET1はNFETソース/ドレイン(NSD)ヒ素打込みを受ける。既存の薄い窒化物スペーサ60を用いてここでNSDヒ素打込みを行うことにより、できる限りゲート・チャネル26へ近接してN拡張/NSDドーピングを配置して、短チャネル特性を損なうことなく横方向のドーピング・レベルを最大にする。というのは、ヒ素はPFETのホウ素と比べて遅いディフューザ(diffuser)だからである。こうして、領域51,67に加えて領域71は、結果として生じたN型無アニール・ドーピング領域を表す。図13(b)に示すPFETは前の工程から変化しないままである。
図14(a)(NFET)および14(b)(PFET)において、第2の窒化物スペーサ61を、RTCVDを用いて第1の窒化物スペーサ60に隣接して付着させる。その後、RIEプロセスが、オーバーエッチングの際にポリシリコン・ゲート40の上の薄い保護窒化物層46を除去する。オーバーエッチングがRSD層71,72の表面80に影響を及ぼす場合には、最終RTCVD窒化物スペーサ付着の前に任意に(図示しない)LTOキャップを付着してRSD層71,72を保護してもよい。図14(b)は、最終窒化物スペーサ61形成に続くPFETソース/ドレイン(PSD)ホウ素/BF2 打込を示し、これは十分な横方向の間隔を与えて後続の最終熱サイクルの際のPFETデバイス2のホウ素の横方向の侵食(encroachment)を最小にする。領域53,69に加えて領域72は、結果として生じたP型無アニール・ドーピング領域を表し、一方、領域54は結果として生じたN型無アニール・ドーピング領域を表す。
その後、図15(a)(NFET)および15(b)(PFET)に示すように、デバイス1,2は最終短時間アニール(RTA)プロセスを受け、好ましくは950℃〜1150℃の温度で全てのドーパントをアニールする。非エピタキシャルRSD71,72は極低温で形成され、先行する他の熱サイクルは存在しないので、RTAプロセスは、デバイス1,2において全てのドーパントが受ける唯一のアニール・プロセスである。したがって、本発明は、高性能ディープサブ0.1μmCMOSデバイス製造のための絶対最小のドーパント再分布を与える。(図15(a)に示す)領域73,85,86および(図15(b))に示す領域87は、結果として生じたN型活性化ドーピング領域を表し、一方、(図15(a)に示す)領域74および(図15(b)に示す)領域75,88,89は結果として生じたP型活性化ドーピング領域を表す。領域70はデバイス1,2の活性領域を表す。デバイスの様々な領域が様々なドーピング,打込み,およびアニール・プロセスを受け、新たな材料特性と物理的構成とをもたらす。例えば、図13(a)に示す領域67は図15(a)に示す領域73になる。加えて、図13(a)に示す領域52は図15(a)に示す領域74になる。同様に、図13(a)に示す領域51の一部が図15(a)に示す領域85になる。さらに、図13(a)に示す領域51の他の部分が、図15(a)に示す領域86になる。さらに、図14(b)に示す領域69が図15(b)に示す領域75になる。加えて、図14(b)に示す領域54が図15(b)に示す領域87になる。また、図14(b)に示す領域53が図15(b)に示す領域88になる。同様に、図14(b)に示す領域65が図15(b)に示す領域89になる。最後に、図13(a)および14(b)に示す領域71,72がそれぞれ、図15(a)および15(b)にそれぞれ示す領域79(a),79(b)になる。この後、シリサイド化および後工程がプロセスを完成させる(図示しない)。
CMOSデバイス1,2を製造する方法を図16のフロー図に示す。ここで、本方法は、SOIウェハ20に隣接するSTI領域35を形成する工程100を含み、SOIウェハ20はNFET領域51とPFET領域53とを有し、STI領域35はほぼ丸いコーナ37を有する。次の工程は、SOIウェハ20の上にゲート構造40を形成する工程110,SOIウェハ20のNFET領域51内でN拡張およびNハロー打込を実行する工程120,および、SOIウェハ20のPFET領域53内でP拡張およびPハロー打込を実行する工程130を含む。その後、ポリシリコンおよびアモルファスシリコンのうちの一方を好ましくは含む非エピタキシャル打込層65を、約620℃以下の最低温度でSOIウェハ20の上に付着する(工程140)。プロセスの次の工程は、デバイス1,2の上にゲート・ポストドーピング打込を打込む工程150を含む。任意で、本方法は、ゲート構造40に隣接する少なくとも1つの絶縁スペーサ60,61を形成する工程155を含む。次に、N型およびP型ソース/ドレイン打込を打込層65内で実行する(工程160)。最後に、デバイス1,2は、約950℃〜約1150℃の範囲内の高温でのアニール・プロセスを受ける(工程170)。
図17のフロー図に示すように、SOIウェハ20の上に第1ゲート・ポリシリコン層42を付着する工程112と、第1ゲート・ポリシリコン層42の上に酸化物パッド44を付着する工程114と、酸化物パッド44の上に犠牲窒化物層46を付着する工程116と、犠牲窒化物層46の上に犠牲第2ゲート・ポリシリコン層48を付着する工程118とによって、ゲート構造40を形成する。
さらに、図18のフロー図に示すように、本発明はCMOSデバイス1,2を製造する方法を提供する。ここで、本方法は、所定の厚さを有するSOIウェハ20を埋込酸化物基板10の上に付着する工程200を含む。次の工程は、SOIウェハ20の上にゲート誘電体25を形成する工程210を含む。次に、STI領域35を埋込酸化物基板10の上に形成する(工程220)。ここで、STI領域35は、ほぼ丸いコーナ37を有するよう構成され、ゲート誘電体25は、STI領域35より低く配される。次に、ゲート構造40をゲート誘電体25の上に形成し(工程230)、非エピタキシャル打込層65をSOIウェハ20の上に付着する(工程240)。プロセスの次の工程は、SOIウェハ20および打込層65内でN型およびP型ドーパント打込を実行する工程250と、デバイス1,2を加熱して、打込層65およびSOIウェハ20内にソース/ドレイン領域85,86,88,89を形成する工程260とを含み、ソース/ドレイン領域85,86,88,89は、SOIウェハ20の所定の厚さより大きい厚さを有する。本方法は、ゲート構造40を囲む少なくとも1つの絶縁スペーサ60,61を形成する工程270と、CMOSデバイス1,2を高温でアニールする工程280とをさらに含む。
本発明は、全てが高い使用可能度と製造可能度を有する、超薄SOI上低温RSD形成,ゲート・ポストドーピング,S/Dおよびポリシリコン・ドーピングの分離,およびゲート・スタック高さ低減のための固有の解決法を与える。従来のデバイスおよびプロセスに対する本発明の種々の顕著な特徴が存在し、その一部を後段で述べる。第1に、本発明は、STI表面35とゲート誘電体25界面との間に高度差(ステップ)を与える。これは有益である。というのは、エッチバック・プロセスが大部分のポリシリコン層65を除去した後でさえ、高いSTIがポリシリコン層65の一部が残留するのを可能にして、ドーパント打込のための非エピタキシャル層を与えるからである。また、本発明によれば、従来のデバイスに固有の種々のエピタキシャルベースのRSD特性、例えば、ファセット,ポリシリコン粒子,酸素の界面濃度,ポリシリコン・ゲート上での選択エピタキシの横方向のオーバーグロースなどは存在しない。さらに、本発明によれば、非エピタキシャルRSDポリシリコン層65を、STI領域35および活性領域の上に全体的に形成する。したがって、シリサイド化の後、ソース/ドレイン領域(集合的に85,86,88および89)は、活性領域79(a),79(b)間で短絡する。
本発明はおよそ以下のように動作する。図15(a)に示すNFETデバイス1を例として考えると、ソース/ドレイン領域79(a),79(b)において電気信号が入力し、チャネル領域20を通過して相補形ドレイン/ソース領域79(a),79(b)に達する。こうして、本発明は、従来のトランジスタが機能するように機能する。一方、CMOSデバイス1,2は、埋込酸化物層の上に形成されほぼ丸いコーナ37を有するSTI領域35と、ゲート40とソース/ドレイン領域74,85,86との間の導電バリアとして機能するゲート誘電体界面25とを含む。本発明の固有の特徴は、STI領域35の上部表面36が、ゲート誘電体界面25より構造上高いということである。これは有益である。というのは、プロセスの際、後続のエッチバック・プロセスが大部分の打込層65を除去した後でさえ、高いSTI領域35が打込層65の一部が残留するのを可能にするからである。これは、基本的に非エピタキシャルベースのプロセスを可能にし、非エピタキシャルベースのプロセスは有益である。というのは、このプロセスが、ドーパントに対するTED効果を除去し、しきい値電圧(Vth)ロールオフのような短チャネル効果を低減するからである。加えて、ほぼ丸いSTIコーナ37は、ポリシリコン付着が行われた後にSTI上に残留するポリシリコン残部の量を低減して、デバイス性能を向上させる。
本発明は、以下の利点を達成する。本発明は、エピに依存しないでRSDを形成することによって超薄SOI上CMOSのための選択エピベースのRSDデバイスの基本的な問題の全てを解決する。同時に、本発明は、バッファ層としてS/D上ポリシリコンを用いてポリシリコン・ゲートをポストドープする。また、本発明は、RSDポリシリコン平坦化と同一の化学機械研磨(CMP)を用いてポリシリコン・ゲート高さの低減をもたらす。さらに、本発明は、高性能論理CMOSデバイス製造のために従来のゲート構造を積極的にスケールして超薄SOI上RSDを実現する。さらにまた、本発明は、階段状STIとSTI表面をマーカとして用いるポリシリコン・エッチバックとによって形成されたS/D電極を分離する方法を提供する。加えて、本発明は、パッド酸化物エッチングおよびライナ酸化の際にコーナを丸くすることにより、STI端部のステップ状コーナの周囲のポリシリコン・レールに付随する問題を解決する方法を提供する。
上述の利点を組み合わせると、本発明は、極低温での付着を通じて隆起ソース/ドレイン領域を形成し、それによって、高温での選択エピベースの隆起ソース/ドレイン・プロセスによって生じる、薄SOI上シリサイド形成、短チャネル劣化、およびエピ‐基板界面における欠陥関連問題のような問題を完全に回避することにより、超薄SOI基板を用いる最高性能CMOSデバイスの製造を可能にする。
好適な実施形態の点から本発明を説明したが、請求項の趣旨および範囲内の変更によって本発明を実施できることを当業者は理解できる。さらに、理解の容易のためにCMOSデバイス1,2の2分の1のみを図示した(例えば、ソース領域またはドレイン領域のいずれかのみが示される)が、実際にはデバイス1,2のそれぞれについて構造の鏡像が存在する(例えば、対応するドレイン領域またはソース領域がゲート構造40の向こう側にそれぞれ存在する)ということを当業者は理解できる。
まとめとして、本発明の構成に関して以下の事項を開示する。
(1)埋込酸化物(BOX)層と、前記BOX層の上のSOIウェハと、前記SOIウェハの上のゲート誘電体と、 前記ゲート誘電体の上のゲート領域と、付着材料を含み、前記SOIウェハに隣接する打込層と、前記打込層および前記SOIウェハの上のソース/ドレイン領域と、前記ソース/ドレイン領域に隣接し、前記ゲート誘電体の上部表面より高い上部表面を有する浅いトレンチ分離(STI)領域と、を備える隆起ソース/ドレイン(RSD)SOIトランジスタ・デバイス。
(2)前記ゲート領域を囲む少なくとも1つの絶縁スペーサをさらに備える上記(1)記載のデバイス。
(3)前記SOIウェハは所定の厚さを有し、前記ソース/ドレイン領域は前記SOIウェハの所定の厚さより大きい厚さを有する上記(1)記載のデバイス。
(4)前記STI領域はほぼ丸いコーナを有し、前記STI領域は前記ソース/ドレイン領域の境界を成す上記(3)記載のデバイス。
(5)前記打込層は、ポリシリコンおよびアモルファスシリコンのうちの一方を含む上記(1)記載のデバイス。
(6)前記ソース/ドレイン領域はエピタキシャル関連欠陥がない上記(1)記載のデバイス。
(7)前記ソース/ドレイン領域は、非エピタキシャル材料を含む上記(1)記載のデバイス。
(8)埋込酸化物(BOX)層と、所定の厚さを有し、前記BOX層の上のSOIウェハと、前記SOIウェハの上のゲート構造と、前記BOX層の上の第1の高さに配され、前記ゲート構造と前記SOIウェハとの間に存在するゲート誘電体と、付着材料を含み、前記SOIウェハに隣接する打込層と、前記SOIウェハの所定の厚さより大きい厚さを有し、前記打込層および前記SOIウェハ内にあるソース/ドレイン領域と、ほぼ丸いコーナを有し、前記BOX層の上に配された浅いトレンチ分離(STI)領域であって、前記STI領域の上部表面が前記BOX層の上で前記第1の高さより高いSTI領域と、を備えるCMOSデバイス。
(9)前記ゲート構造を囲む少なくとも1つの絶縁スペーサをさらに備える上記(8)記載のCMOSデバイス。
(10)前記SOIウェハの所定の厚さは55ナノメートルより薄い上記(8)記載のCMOSデバイス。
(11)前記ソース/ドレイン領域の厚さは200〜300オングストロームの範囲内である上記(8)記載のCMOSデバイス。
(12)前記打込層は、ポリシリコンおよびアモルファスシリコンのうちの一方を含む上記(8)記載のCMOSデバイス。
(13)CMOSデバイスを製造する方法であって、前記方法は、所定の厚さを有するSOIウェハを埋込酸化物(BOX)基板の上に付着する工程と、前記SOIウェハの上にゲート誘電体を形成する工程と、ほぼ丸いコーナを有するよう構成される浅いトレンチ分離(STI)領域を前記BOX基板の上に形成する工程と、前記ゲート誘電体の上にゲート構造を形成する工程と、前記SOIウェハの上に打込層を付着する工程と、前記SOIウェハおよび前記打込層内でN型ドーパント打込およびP型ドーパント打込のうちの一方を実行する工程と、前記デバイスを加熱して、前記打込層および前記SOIウェハから、前記SOIウェハの所定の厚さより大きい厚さを有するソース/ドレイン領域を形成する工程と、を含み、前記ゲート誘電体は前記STI領域より低く配される方法。
(14)前記ゲート構造を囲む少なくとも1つの絶縁スペーサを形成する工程を、さらに含む上記(13)記載の方法。
(15)前記打込層は、ポリシリコンおよびアモルファスシリコンのうちの一方を含む上記(13)記載の方法。
(16)前記ゲート構造は、前記SOIウェハの上に第1ゲート・ポリシリコン層を付着する工程と、前記第1ゲート・ポリシリコン層の上に酸化物パッドを付着する工程と、前記酸化物パッドの上に犠牲窒化物層を付着する工程と、前記犠牲窒化物層の上に犠牲第2ゲート・ポリシリコン層を付着する工程と、によって形成される上記(13)記載の方法。
(17)前記SOIウェハの所定の厚さは、55ナノメートルより薄い上記(13)記載の方法。
(18)前記ソース/ドレイン領域の厚さは、200〜300オングストロームの範囲内である上記(13)記載の方法。
本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したNFET構成要素の概要図である。 本発明に係るCMOSデバイスの部分的に完成したPFET構成要素の概要図である。 本発明に係るCMOSデバイスのNFET構成要素の概要図である。 本発明に係るCMOSデバイスのPFET構成要素の概要図である。 本発明の好適な方法を説明するフロー図である。 本発明の好適な方法を説明するフロー図である。 本発明の好適な方法を説明するフロー図である。
符号の説明
1 NFETデバイス
2 PFETデバイス
10 埋込酸化物領域
15 窒化物/酸化物/SOIスタック
20 超薄SOIウェハ
25 酸化物パッド
26 ゲート・チャネル
30 窒化物層
35 STI領域
36 STI領域35の上部表面
37 STIの丸いコーナ
40 ゲート・スタック
42 ポリシリコン層
44 酸化物層
46 窒化物層
48 バッファ・ダミー・ポリシリコン層
50 絶縁体層
51,54,66,67,71 N型無アニール・ドーピング領域
52,53,68,69,72 P型無アニール・ドーパント領域
55 低温酸化物(LTO)キャップ
60 窒化物スペーサ
61 第2の窒化物スペーサ
65 ポリシリコン層
73,85,86,87 N型活性化ドーピング領域
74,75,88,89 P型活性化ドーピング領域
79(a),(b) ソース/ドレイン領域
80 RSD層71,72の表面

Claims (13)

  1. 埋込酸化物層と、
    前記埋込酸化物層の上のSOIウェハと、
    前記SOIウェハの上のゲート誘電体と、
    前記ゲート誘電体の上のゲート領域と、
    前記ゲート領域の側面の上の絶縁体層と、
    前記絶縁体層の上の絶縁スペーサと、
    620℃以下でポリシリコンまたはアモルファスシリコンを付着して形成され、前記SOIウェハに隣接する打込層と、
    前記打込層および前記SOIウェハ内にあるソース/ドレイン領域と、
    いコーナを有し、前記ソース/ドレイン領域に隣接し、前記ゲート誘電体の上部表面より高い上部表面を有する浅いトレンチ分離領域と、を備える隆起ソース/ドレインSOIトランジスタ・デバイス。
  2. 前記絶縁体層が酸化物、オキシナイトライドおよび低温酸化物のいずれかを含み、前記絶縁スペーサが窒化物を含む、請求項1記載のデバイス。
  3. 前記SOIウェハは所定の厚さを有し、前記ソース/ドレイン領域は前記SOIウェハの所定の厚さより大きい厚さを有する請求項1記載のデバイス。
  4. 前記ソース/ドレイン領域はエピタキシャル関連欠陥がない請求項1記載のデバイス。
  5. 前記ソース/ドレイン領域は、非エピタキシャル材料を含む請求項1記載のデバイス。
  6. 埋込酸化物層と、
    所定の厚さを有し、前記埋込酸化物層の上のSOIウェハと、
    前記SOIウェハの上のゲート構造と、
    上部表面が前記埋込酸化物層の上の第1の高さに配され、前記ゲート構造と前記SOIウェハとの間に存在するゲート誘電体と、
    前記ゲート構造の側面の上の絶縁体層と、
    前記絶縁体層の上の絶縁スペーサと、
    620℃以下でポリシリコンまたはアモルファスシリコンを付着して形成され、前記SOIウェハに隣接する打込層と、
    前記SOIウェハの所定の厚さより大きい厚さを有し、前記打込層および前記SOIウェハ内にあるソース/ドレイン領域と、
    いコーナを有し、前記埋込酸化物層の上に配された浅いトレンチ分離領域であって、前記浅いトレンチ分離領域の上部表面が前記埋込酸化物層の上で前記第1の高さより高い浅いトレンチ分離領域と、を備えるCMOSデバイス。
  7. 前記絶縁体層が酸化物、オキシナイトライドおよび低温酸化物のいずれかを含み、前記絶縁スペーサが窒化物を含む、請求項記載のCMOSデバイス。
  8. 前記SOIウェハの所定の厚さは55ナノメートルより薄い請求項記載のCMOSデバイス。
  9. 前記ソース/ドレイン領域の厚さは200〜300オングストロームの範囲内である請求項記載のCMOSデバイス。
  10. CMOSデバイスを製造する方法であって、前記方法は、
    所定の厚さを有するSOIウェハを埋込酸化物層の上に付着する工程と、
    前記SOIウェハの上にゲート誘電体を形成する工程と、
    いコーナを有するよう構成される浅いトレンチ分離領域を前記埋込酸化物層の上に形成する工程と、
    前記ゲート誘電体の上にゲート構造を形成する工程と、
    前記ゲート構造の側面の上に絶縁体層を形成する工程と、
    前記絶縁体層の上に絶縁スペーサを形成する工程と、
    前記SOIウェハの上に620℃以下でポリシリコンまたはアモルファスシリコンの打込層を付着する工程と、
    前記SOIウェハおよび前記打込層内でN型ドーパント打込およびP型ドーパント打込のうちの一方を実行する工程と、
    前記デバイスを加熱して、前記打込層および前記SOIウェハから、前記SOIウェハの所定の厚さより大きい厚さを有するソース/ドレイン領域を形成する工程と、
    順次行うこと含み、
    前記ゲート誘電体は前記浅いトレンチ分離領域より低く配される方法。
  11. 前記ゲート構造は、
    前記SOIウェハの上に第1ゲート・ポリシリコン層を付着する工程と、
    前記第1ゲート・ポリシリコン層の上に酸化物パッドを付着する工程と、
    前記酸化物パッドの上に犠牲窒化物層を付着する工程と、
    前記犠牲窒化物層の上に犠牲第2ゲート・ポリシリコン層を付着する工程と、によって形成される請求項1記載の方法。
  12. 前記SOIウェハの所定の厚さは、55ナノメートルより薄い請求項1記載の方法。
  13. 前記ソース/ドレイン領域の厚さは、200〜300オングストロームの範囲内である請求項1記載の方法。
JP2003396341A 2003-01-07 2003-11-26 Cmosデバイスおよびその製造方法 Expired - Fee Related JP4472972B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/338,103 US6828630B2 (en) 2003-01-07 2003-01-07 CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture

Publications (2)

Publication Number Publication Date
JP2004214628A JP2004214628A (ja) 2004-07-29
JP4472972B2 true JP4472972B2 (ja) 2010-06-02

Family

ID=32681377

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003396341A Expired - Fee Related JP4472972B2 (ja) 2003-01-07 2003-11-26 Cmosデバイスおよびその製造方法

Country Status (4)

Country Link
US (2) US6828630B2 (ja)
JP (1) JP4472972B2 (ja)
KR (2) KR100628820B1 (ja)
SG (1) SG115598A1 (ja)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW566041B (en) * 2001-07-06 2003-12-11 Hitachi Ltd Digital data recording device and output device
US7071043B2 (en) * 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
JP2004311903A (ja) * 2003-04-10 2004-11-04 Oki Electric Ind Co Ltd 半導体装置及び製造方法
US6812105B1 (en) * 2003-07-16 2004-11-02 International Business Machines Corporation Ultra-thin channel device with raised source and drain and solid source extension doping
US20050048732A1 (en) * 2003-08-26 2005-03-03 International Business Machines Corporation Method to produce transistor having reduced gate height
DE102004004846B4 (de) * 2004-01-30 2006-06-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Abscheiden einer Schicht aus einem Material auf einem Substrat
JP4434832B2 (ja) * 2004-05-20 2010-03-17 Okiセミコンダクタ株式会社 半導体装置、及びその製造方法
US7227228B2 (en) * 2004-05-21 2007-06-05 Kabushika Kaisha Toshiba Silicon on insulator device and method of manufacturing the same
JP2006120814A (ja) * 2004-10-21 2006-05-11 Renesas Technology Corp 半導体装置の製造方法
US7235433B2 (en) * 2004-11-01 2007-06-26 Advanced Micro Devices, Inc. Silicon-on-insulator semiconductor device with silicon layers having different crystal orientations and method of forming the silicon-on-insulator semiconductor device
US7453122B2 (en) * 2005-02-08 2008-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. SOI MOSFET device with reduced polysilicon loading on active area
FR2890662B1 (fr) * 2005-09-14 2008-09-19 St Microelectronics Sa Procede d'epitaxie a faible budget thermique et son utilisation
US7569434B2 (en) * 2006-01-19 2009-08-04 International Business Machines Corporation PFETs and methods of manufacturing the same
TW200742087A (en) * 2006-03-14 2007-11-01 Koninkl Philips Electronics Nv Source and drain formation
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
JP4300228B2 (ja) * 2006-08-28 2009-07-22 株式会社東芝 不揮発性半導体記憶装置
US7998821B2 (en) * 2006-10-05 2011-08-16 United Microelectronics Corp. Method of manufacturing complementary metal oxide semiconductor transistor
KR100781891B1 (ko) * 2006-12-05 2007-12-03 동부일렉트로닉스 주식회사 반도체 소자 및 그의 제조방법
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8415748B2 (en) 2010-04-23 2013-04-09 International Business Machines Corporation Use of epitaxial Ni silicide
CN102437183B (zh) * 2010-09-29 2015-02-25 中国科学院微电子研究所 半导体器件及其制造方法
US8426265B2 (en) 2010-11-03 2013-04-23 International Business Machines Corporation Method for growing strain-inducing materials in CMOS circuits in a gate first flow
US9087741B2 (en) 2011-07-11 2015-07-21 International Business Machines Corporation CMOS with dual raised source and drain for NMOS and PMOS
US8642424B2 (en) * 2011-07-12 2014-02-04 International Business Machines Corporation Replacement metal gate structure and methods of manufacture
US8435846B2 (en) 2011-10-03 2013-05-07 International Business Machines Corporation Semiconductor devices with raised extensions
US20130292766A1 (en) 2012-05-03 2013-11-07 International Business Machines Corporation Semiconductor substrate with transistors having different threshold voltages
US8546203B1 (en) 2012-07-17 2013-10-01 International Business Machines Corporation Semiconductor structure having NFET extension last implants
US8673699B2 (en) * 2012-07-17 2014-03-18 International Business Machines Corporation Semiconductor structure having NFET extension last implants
CN103400858B (zh) * 2013-08-02 2016-01-20 清华大学 绝缘体上三维半导体器件及其形成方法
US9876110B2 (en) * 2014-01-31 2018-01-23 Stmicroelectronics, Inc. High dose implantation for ultrathin semiconductor-on-insulator substrates
US9786755B2 (en) * 2015-03-18 2017-10-10 Stmicroelectronics (Crolles 2) Sas Process for producing, from an SOI and in particular an FDSOI type substrate, transistors having gate oxides of different thicknesses, and corresponding integrated circuit
JP6649190B2 (ja) 2016-06-28 2020-02-19 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN106206316A (zh) * 2016-07-27 2016-12-07 上海集成电路研发中心有限公司 一种金属氧化物半导体场效应晶体管的制造方法
US20180138177A1 (en) * 2016-11-16 2018-05-17 Globalfoundries Inc. Formation of band-edge contacts
RU2643938C1 (ru) * 2016-12-23 2018-02-06 Акционерное общество "Научно-исследовательский институт молекулярной электроники" Способ изготовления высокотемпературных КМОП КНИ интегральных схем
CN107768309B (zh) * 2017-10-11 2019-12-10 深圳市华星光电半导体显示技术有限公司 混合型cmos器件及其制作方法
US10559696B2 (en) 2017-10-11 2020-02-11 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Hybrid CMOS device and manufacturing method thereof

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5567966A (en) 1993-09-29 1996-10-22 Texas Instruments Incorporated Local thinning of channel region for ultra-thin film SOI MOSFET with elevated source/drain
AU5386796A (en) * 1995-04-11 1996-10-30 Kinetech, Inc. Identifying data in a data processing system
US5814553A (en) * 1996-05-09 1998-09-29 United Microelectronics Corp. Method of fabricating self-align contact window with silicon nitride side wall
US6777759B1 (en) * 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6051458A (en) 1998-05-04 2000-04-18 Taiwan Semiconductor Manufacturing Company Drain and source engineering for ESD-protection transistors
JP2000156502A (ja) * 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US6403433B1 (en) 1999-09-16 2002-06-11 Advanced Micro Devices, Inc. Source/drain doping technique for ultra-thin-body SOI MOS transistors
US6248637B1 (en) 1999-09-24 2001-06-19 Advanced Micro Devices, Inc. Process for manufacturing MOS Transistors having elevated source and drain regions
US6372589B1 (en) 2000-04-19 2002-04-16 Advanced Micro Devices, Inc. Method of forming ultra-shallow source/drain extension by impurity diffusion from doped dielectric spacer
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric

Also Published As

Publication number Publication date
KR100628820B1 (ko) 2006-09-27
JP2004214628A (ja) 2004-07-29
US20040129979A1 (en) 2004-07-08
KR20040063768A (ko) 2004-07-14
US6828630B2 (en) 2004-12-07
SG115598A1 (en) 2005-10-28
KR20060080905A (ko) 2006-07-11
US20050040465A1 (en) 2005-02-24
KR100625065B1 (ko) 2006-09-18
US6891228B2 (en) 2005-05-10

Similar Documents

Publication Publication Date Title
JP4472972B2 (ja) Cmosデバイスおよびその製造方法
US8106456B2 (en) SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
TWI255543B (en) A CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US8685847B2 (en) Semiconductor device having localized extremely thin silicon on insulator channel region
US9490348B2 (en) Method of forming a FinFET having an oxide region in the source/drain region
US6372559B1 (en) Method for self-aligned vertical double-gate MOSFET
US6693013B2 (en) Semiconductor transistor using L-shaped spacer and method of fabricating the same
US7205185B2 (en) Self-aligned planar double-gate process by self-aligned oxidation
US7759205B1 (en) Methods for fabricating semiconductor devices minimizing under-oxide regrowth
JP4119663B2 (ja) 非エピタキシャル隆起型ソース/ドレインおよび自己整合型ゲートを有するcmos構造と製造方法
JP2007513489A (ja) 減少されたゲート高さを有するトランジスタを製造する方法
US9634103B2 (en) CMOS in situ doped flow with independently tunable spacer thickness
US7176110B2 (en) Technique for forming transistors having raised drain and source regions with different heights
US7009258B2 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
US7598572B2 (en) Silicided polysilicon spacer for enhanced contact area
US7144786B2 (en) Technique for forming a transistor having raised drain and source regions with a reduced number of process steps
US6548362B1 (en) Method of forming MOSFET with buried contact and air-gap gate structure
US8541835B2 (en) Schottky FET fabricated with gate last process
US20020090787A1 (en) Self-aligned elevated transistor
CN107464741A (zh) 一种半导体器件及其制造方法、电子装置
CN102915971B (zh) 一种半导体器件的制造方法
US6727151B2 (en) Method to fabricate elevated source/drain structures in MOS transistors
US6284608B1 (en) Method for making accumulation mode N-channel SOI
JP3805917B2 (ja) 半導体装置の製造方法
CN107464755A (zh) 一种半导体器件及其制造方法、电子装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051031

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060201

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20061128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070327

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070427

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070608

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20070803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100128

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100304

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130312

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140312

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees