JP4408565B2 - 二段bpsg堆積プロセス - Google Patents

二段bpsg堆積プロセス Download PDF

Info

Publication number
JP4408565B2
JP4408565B2 JP2000547651A JP2000547651A JP4408565B2 JP 4408565 B2 JP4408565 B2 JP 4408565B2 JP 2000547651 A JP2000547651 A JP 2000547651A JP 2000547651 A JP2000547651 A JP 2000547651A JP 4408565 B2 JP4408565 B2 JP 4408565B2
Authority
JP
Japan
Prior art keywords
bpsg
gas
chamber
layer
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000547651A
Other languages
English (en)
Other versions
JP2002514013A5 (ja
JP2002514013A (ja
Inventor
リー−チュン キア,
エリー イエー,
マリア ガリアーノ,
フランシマール カンパーナ,
シャンカー チャンドラン,
リチャード, アンソニー コンティ,
ダリル レステーノ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002514013A publication Critical patent/JP2002514013A/ja
Publication of JP2002514013A5 publication Critical patent/JP2002514013A5/ja
Application granted granted Critical
Publication of JP4408565B2 publication Critical patent/JP4408565B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般的には、半導体集積回路(「IC」)を製造するのにふさわしい製造プロセス、さらに具体的に言えば、二段BPSG(borophosphosilicate glass)堆積プロセスと、関連装置に関する。
【0002】
【従来の技術】
関連出願の相互参照
本願は、「ドーパント・バイパスを備えた減圧化学蒸着システム」と題する関連出願第09/075,561号(アトーニイ・ドケット第AM25902/T270号)と同一日付けで出願されている。この出願の開示は、あらゆる目的で、そっくりそのまま、ここに組み入れる。
【0003】
集積回路の一連の製造には、いくつかのパターン化プロセスが含まれることがおおい。これらのパターン化プロセスは、パターン化金属またはポリシリコン層などの導体層を画成するか、あるいは、トレンチなどの隔離構造体を画成する。多くの場合に、これらのトレンチには、絶縁体すなわち誘電体が埋込まれる。この絶縁体は、いくつかの働きをすることができる。この絶縁体は、ICの領域を互いに電気的に絶縁する働きをし、さらに、このトランチの表面を電気的に不活性化することもできる。この絶縁体はまた、一般に、半導体の次の層を構築する基礎も提供する。
【0004】
基板をパターン化した後では、絶縁体は平坦でない。このようなパターンの形態は、その後のウェハ処理工程を損なうか、あるいは劣化させることがある。たびたび、このパターン材料の表面を平坦にすることが望ましい。このような平坦な表面、すなわち「平坦化」表面を作るために、いくつかの方法が開発されてきた。例として、充分な厚さを持つ材料のコンフォーマルな層を堆積し、ウェハを研磨して平坦な表面を得るプロセス、充分な厚さを持つ材料のコンフォーマルな層を堆積し、コンフォーマルな層をエッチバックして平坦化表面を形成するプロセス、さらに、BPSGなどの比較的に低い融点の材料の層を形成し、次に、BPSGを融かして液体のように流動させるくらい充分にウェハを加熱し、冷却時に平坦な表面を作るプロセスがある。各プロセスは、そのプロセスを特定の用途に望ましくする属性を持っている。
【0005】
BPSGの層を形成し、次に融かすプロセスは、多くの理由で、望ましい層形成プロセスである。BPSGのリフロー(融解)温度は、かなり低く、またリフロー時間はかなり短く、したがって、リフローは、一連の素子製造の熱履歴を著しく増すことなく、達成できる。さらに、BPSGを、様々なドーピング濃度でドープして、リフロー特性を変えることもある。BPSGは、流動して、基板の表面上の微細な段差部を埋めることができ、また単一基板上の様々な幅のトレンチを埋めることもできる。
【0006】
半導体設計が進歩するにつれて、半導体素子の段差サイズが大幅に小さくなった。現在、多くの回路は、幅が1ミクロンよりも短いトレースまたはトレンチなどの段差を呈する。段差サイズを小さくすると、素子の密度を大きくし、ウェハ一枚当たりのチップを多くし、回路を複雑にし、動作電力消費量を低下させ、さらに、コストを下げることができたが、このように形状寸法を小さくしたことがまた、新たな問題を発生させたか、あるいは、さらに大きい形状寸法に対して、かって解決された問題をよみがえらせた。
【0007】
サブミクロン素子が呈するタイプの製造課題の一例は、ボイドのないように、幅の狭いトレンチを完全に埋められるかどうかである。トレンチをBPSGで埋めるためには、BPSG層を、まず最初に、そのパターン化基板上に堆積する。
【0008】
BPSG層は、一般に、トレンチの壁面と底面だけでなく、フィールドも覆う。トレンチが、幅が広くて浅い場合には、トレンチをBSPGで完全に埋めることは比較的に容易である。トレンチの幅がさらに狭くなり、アスペクト比(トレンチの幅に対するトレンチの高さの比)が大きくなるにつれて、トレンチの開口が、「ピンチオフ」になる可能性が高まってくる。
【0009】
【発明が解決しようとする課題】
トレンチをピンチオフすると、ボイドがトレンチ内に閉じ込められる。或る一定の条件のもとでは、ボイドが、リフロープロセス中に埋められる。しかしながら、トレンチの幅が狭くなるにつれて、リフロープロセス中にボイドが埋められない可能性が高まってくる。このようなボイドは、ウェハ1枚当たりの良品チップの歩留りや、素子の信頼性を低下させかねないから、望ましくない。それゆえ、ボイドのないように、幅の狭いギャップをBPSGで埋められることが望ましい。さらに、BPSGを堆積し、リフローする目的で使用されるプロセスは、効率的であって、信頼でき、しかも、素子の歩留りを高めることが望ましい。
【0010】
【課題を解決するための手段】
本発明は、ドープ・シリコンガラス層に関係のある方法と装置を提供するものである。一実施形態において、優れたギャップ充填性を持つBPSG層を効率的に形成するために、二段堆積プロセスが使用される。この二段堆積プロセスは、堆積されたBPSGのリフロー後、開口が約0.16ミクロンで、かつアスペクト比が少なくとも約6:1であるトレンチを、ボイドのないように埋めることができる。BPSG層の第1の部分は、比較的に高い圧力と、比較的に大きいオゾン対シリコン堆積ガス比で形成され、またBPSG層の第2の部分は、比較的に低い圧力と、さらに小さいオゾン対シリコン堆積ガス比で形成される。
【0011】
さらに他の一実施形態では、第1の部分のドーピングレベルは、第2の部分のドーピングレベルよりも高い。多くドープされた第1の部分は、リフロー性を高めるが、一方、さらに少なくドープされた第2の部分は、薄膜の安定性を高める。ドーパント・ソースから真空ポンプシステムへのバイパスにより、ドーパントを真空室に流さずにドーパントの流れを安定させることができる。このドーパントの流れは、選択弁の動作により、真空ポンプシステムから真空室に切替えられる。このバイパスを使用すると、ドープ・シリコンガラス層は、ドーパント不足ゾーンなしで形成することができる。
【0012】
本発明による一素子には、リフローされたBPSG層が含まれ、この層の第1の部分のウェット・エッチング速度比は、この層の第2の部分のウェット・エッチング速度比よりも大きい。本発明の別の実施形態は、シリコン基板と接触しているドープ・シリコンガラス層を含む中間IC構造であり、この構造においては、ドープ・シリコンガラス層は、シリコン基板に隣接するドーパント不足領域を持たない。
【0013】
本発明の上記の実施形態と他の実施形態、並びに、本発明の利点と特徴の一部は、以下の本文および添付図面とともに、さらに詳しく説明される。
【0014】
【発明の実施の形態】
二段BPSG堆積プロセスを用いると、4:1を超えるアスペクト比を有する、約0.06ミクロン程度の幅のトレンチのように狭いトレンチについて、ボイドなしの効率的なギャップ埋込みが得られる。この二段プロセスは、第1の段階の間に、非常にコンフォーマルな薄膜を生成し、また第2の段階の間に速い堆積速度を使用して、高スループットと、優れた薄膜安定性を得る。二層の薄膜により、それぞれの段階の間に、異なるドーピング濃度が与えられ、また、単層の薄膜と比較して、ギャップ充填性、厚さの均一性、および、その結果得られた薄膜の薄膜安定性が向上する。ドーピングガス・ソースから減圧排気システムへのバイパス管により、ドーパント減損領域を形成せずに、一方の堆積状態から、他方の堆積状態へ遷移することができる。
【0015】
I.模範的な堆積システム
図1Aは、本発明による「CVD(chemical vapor deposition)」システム10の概略図である。このシステムは、熱減圧CVD(「SACVD」)プロセス、並びに、リフロー、ドライブイン、洗浄、エッチング、ゲッティングのプロセスなどの他のプロセスを実行するのにふさわしい。多段プロセスはまた、単一の基板すなわちウェハを、このチャンバから移すことなく、その基板上で実行することもできる。このシステムの主要構成要素には、とりわけ、ガス供給システム89から処理ガスまたは他のガスを受取る真空室15、真空システム88、リモートマイクロ波プラズマシステム55、制御システム53がある。これらの構成要素、および他の構成要素は、本発明を理解するために、以下で説明される。
【0016】
CVD装置10は、ガス反応エリア16を持つ真空室15を納めた外被組立体200を含む。ガス分配板20は、反応ガスおよび他のガス(例えば、パージガス)を、ガス分配板20の貫通穴を経て、鉛直可動ヒータ25(これは、ウェハ支持台とも呼ばれる)に載っているウェハ(図には示されていない)まで分散させるために、ガス反応エリア16の上方に備えられる。ヒータ25は、例えばウェハの取入れまたは取出しのできる低位置から、破線13で示されるガス分配板20の直近くの処理位置まで、あるいは、エッチングまたは洗浄の目的など他の目的で、他の位置まで、制御可能に移動させることができる。センターボード(図には示されていない)は、ウェハの位置に関する情報を提供するセンサを含む。
【0017】
ヒータ25は、セラミックに入れられた電気抵抗型発熱体(図には示されていない)を含む。このセラミックにより、腐食の可能性のあるチャンバ環境から、前述の発熱体が保護され、また、発熱体が約800℃までの温度に達することができる。模範的な一実施形態では、真空室15にさらされるヒータ25のあらゆる面は、酸化アルミニウム(Al23、すなわちアルミナ)または硝酸アルミニウムなどのセラミック材料で作られている。
【0018】
反応ガスとキャリヤガスは、供給管路43を経て、ガス混合ボックス(ガス混合ブロックとも呼ばれる)273に供給され、そこで、これらのガスが、好ましくは混ぜ合わされて、ガス分配板20に吐き出される。ガス混合ボックス273は、好ましくは、処理ガス供給管路43にも、洗浄/エッチング用ガス管47にも連結された複式入力混合ブロックである。弁280は、ガス管47からガス混合ブロック273に、ガスまたはプラズマを通したり、止めたりする働きをする。ガス管47は、入力ガスを受取る導入口57を備えた一体型リモートマイクロ波プラズマシステム55から、ガスを受取る。堆積処理の間、ガス分配板20に供給されたガスは、ウェハ表面に向けて発散される(矢印21で示される通り)。この場合、ガスが、放射状にウェハ表面全体にくまなく分配される(一般に、層流で)。
【0019】
パージガスは、ガス分配板20、および/または、導入口または導入管(図には示されていない)から、外被組立体200の底壁を経て、真空室15に吐き出されることもある。このパージガスは、この導入口から上方に流れて、ヒータ25を通り過ぎて、環状ポンピング溝40に達する。次に、排気システムは、ガス(矢印22で示される通り)を環状ポンピング溝40に排出し、排気管路60を経て、真空ポンプ(図には示されていない)を含む真空システム88に達する。排気ガスと伴出微粒子は、環状ポンピング溝40から排気管路60を通って、絞り弁システムで制御される速度で得られる。
【0020】
リモートマイクロ波プラズマシステム55は、チャンバクリーニング、あるいは、処理ウェハからの自然酸化膜または残渣の除去など、選択された用途のために、プラズマを発生させることができる。入力管路57を通じて供給された前駆体からリモートマイクロ波プラズマシステム55に発生するプラズマ種は、ガス管47を通じて送られて、ガス分配板20を経て真空室15に分散させる。洗浄用途の前駆ガスは、フッ素、塩素、および他の反応要素を含む場合がある。さらに、リモートマイクロ波プラズマシステム55は、リモートマイクロ波プラズマシステム55への使用に適切な堆積前駆ガスを選択することで、プラズマCVD膜を堆積するように変更する場合もある。
【0021】
システムコントローラ53は、この堆積システムの活動と動作パラメータを制御する。プロセッサ50は、プロセッサ50に接続されたメモリ70に格納されたコンピュータプログラムなどのシステム制御ソフトウェアを実行する。好ましくは、メモリ70は、ハードディスクドライブであるが、もちろん、メモリ70は、読取り専用メモリ(ROM)またはフラッシュメモリなどの他の種類のメモリであることもある。ハードディスクドライブ(例えば、メモリ70)に加えて、CVD装置10は、好適な実施形態において、フロッピーディスクドライブやカードラック(図には示されていない)も含む。
【0022】
プロセッサ50は、システム制御ソフトウェアにより動作する。このシステム制御ソフトウェアには、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、マイクロ波電力レベル、サセプタの位置、および特定プロセスの他のパラメータを命じる命令セットが含まれている。例えば、フロッピーディスクを含む他のメモリに格納されたものなどの他のコンピュータプログラム、あるいは、ディスクドライブまたは他の適切なドライブに入れられた別のコンピュータプログラムプロダクトも、プロセッサ50を動作させて、様々な装置の中にCVDシステム10を構成する目的で使用される。
【0023】
プロセッサ50は、シングルボード・コンピュータ、アナログ・ディジタル入出力ボード、インタフェース・ボード、ステッパ・モータ制御ボードが入っているカードラック(図には示されていない)を備えている。CVDシステム10の様々な部分は、ボード、カードケージ、コネクタの寸法とタイプを定めたVME(Versa Modular European)規格に合致している。このVME規格はまた、16ビットのデータバスと24ビットのアドレスバスを持つバス構造も定めている。
【0024】
図1Bは、CVD装置のチャンバ30に対して、システム用のユーザインタフェースの概略図である。CVD装置10は、マルチチャンバ・システムの1チャンバを含む。ウェハは、追加処理のために、チャンバからチャンバへと移送される場合がある。ときには、ウェハは、真空のもとで、または選択されたガスのもとで移送される。ユーザと、このプロセッサとの間のインタフェースは、CRTモニタ73aとライトペン73bである。メインフレーム・ユニット75は、CVD装置10に対して、電気機能、配管機能、および他のサポート機能を提供する。CVD装置のこの図解実施形態と互換性のある模範的なメインフレーム・ユニットは、現在、カリフォルニア州サンタクララのアプライド・マテリアルズ社から、PRECISION 5000(登録商標)およびCENTURA 5200(登録商標)のシステムとして市販されている。
【0025】
好適な実施形態では、2つのモニタ73aが使用され、その一方が、オペレータ向けにクリーンルームの壁面71に取付けられており、また他方が、サービス技術者向けに、壁面72の後ろにある。これら2つのモニタ73aは、同一情報を同時に表示するが、ただし、ライトペン73bはただ1つ使用可能である。ライトペン73bは、ペンの先端に光センサを付けて、CRTディスプレイから発せられる光を検出する。特定の画面またはファンクションを選択するために、オペレータは、ペン73bで、表示画面の指定エリアに触れて、ボタンを押す。その触れたエリアは、そのハイライト色を変えるか、あるいは、新しいメニューまたは画面が表示され、それにより、ライトペンと表示画面とのやり取りが確かめられる。もちろん、ユーザがこのプロセッサとやり取りできるようにするために、ライトペン73bに代えて、またはそれに加えて、キーボード、マウス、または他のポインティング・デバイスまたは通信装置などの他の装置が使用されることもある。
【0026】
図1Cは、クリーンルーム内にあるガス供給パネル80に対して、CVD装置10の概観を示している。上で考察される通り、CVDシステム10は、ヒータ25を備えたチャンバ15、導入管43と管47から入力されるガス混合ボックス273、および、入力管路57を備えたリモートマイクロ波プラズマシステム55を含む。上述の通り、ガス混合ボックス273は、堆積ガス(1つまたは複数)とクリーンガス(1つまたは複数)、あるいは他のガス(1つまたは複数)を混合して、導入管43を経て処理室15に送り込む。
【0027】
リモートマイクロ波プラズマシステム55は、チャンバ15に沿って、チャンバ15の上方にあるゲートバルブ280とガス混合ボックス273まで及ぶ管47と一体に位置付けられ、かつチャンバ15の下に取付けられている。ガス供給パネル80から出た供給管路83と85は、反応ガスをガス供給線43に提供する。ガス供給パネル80は、選択された用途のために、この処理ガスを提供するガスソースまたは液体ソース90の管路を含む。ガス供給パネル80は、選択されたガスをガス混合ボックス273に流す前に、そのガスを混合する混合システム93を備えている。いくつかの実施形態では、混合システム93は、「TEOS(tetraethylorthosilane)」、「TEB(triethylborate)」、「TEPO(triethylphosphate)」などの反応液体を気化する液体注入システムを含む。これらの液体から気化したものは、つねに、ヘリウムなどのキャリヤガスと組合わされる。一般に、処理ガスのそれぞれの供給管路は、(i)管85または管57への処理ガスの流れを自動または手動で止める目的で使用できる遮断弁95と、(ii)これらの供給管路を流れるガスまたは液体の流量を測定するマスフローコントローラ(流量制御装置)、または他のタイプのコントローラを含む。
【0028】
一例として、リンのソースとしてTEPO、ボロンのソースとしてTEB、またシリコンのソースとしてTEOSを含む混合物は、BPSG膜を形成する堆積プロセスにおいて、ガス混合システム93に用いられる。TEPOとTEOSは、従来のボイラタイプまたはバブラタイプの高温ボックスにより気化される液体ソースである。しかしながら、液体注入システムは、ガス混合システムに導入される反応液体の量をさらに大きく制御できるから、好ましい。これらの液体は、一般に、細かいスプレーまたはミストとしてキャリヤガスの流れに注入された後で、加熱ガス供給管路85に吐き出されて、ガス混合ブロックとチャンバに及ぶ。酸素(O2)またはオゾン(O3)などの1つまたは複数の気体酸素ソースは、別のガス供給管路83を通って、そのチャンバに流れて、そのチャンバで、またはその近くで、加熱ガス供給管路85からの反応ガスと組合わされることになる。もちろん、ドーパント、シリコン、酸素の他のソースも使用できることが認められている。
【0029】
図1Dは、特定の実施形態によるシステム制御ソフトウェア(すなわち、コンピュータプログラム150)の階層制御構造の例示ブロック図である。薄膜を堆積し、洗浄を実行し、あるいは、リフローまたはドライブインを実行するプロセスは、プロセッサ50で実行されるコンピュータプログラムプロダクトを用いて実施できる。このコンピュータプログラムコードは、68000アセンブリ言語、C、C++、パスカル、フォートラン、または他の言語などの従来の任意のコンピュータ読取り可能プログラミング言語で作成できる。適切なプログラムコードが、従来のテキストエディタを用いて、単一のファイル、または複数のファイルに入力されて、システムメモリなどのコンピュータ使用可能媒体に格納されるか、または、その媒体で実施される。
【0030】
入力されたコードのテキストが高水準言語で書かれている場合には、このコードがコンパイルされ、次に、この結果得られたコンパイラ・コードを、プリコンパイルされたWINDOWS(登録商標)ライブラリ・ルーチンのオブジェクトコードとリンクする。このリンクされたコンパイル済みオブジェクトコードを実行するために、システムユーザは、そのオブジェクトコードを呼出して、コンピュータシステムに指示して、そのコードをメモリにロードさせ、また、そのメモリから、CPUは、そのコードを読取って実行することで、装置を構成して、そのプログラムで特定されるタスクを実行する。
【0031】
ユーザは、CRTモニタ上に表示されたメニューまたは画面で提供される選択候補を、ライトペンを使って選ぶことで、プロセスセット番号とプロセス室番号をプロセスセレクタ・サブルーチン153に入力する。これらのプロセスセットは、指定したプロセスを実行するのに必要な所定の組のプロセス・パラメータであって、定義済みセット番号で識別される。プロセスセレクタ・サブルーチン153は、(i)所望のプロセス室と、(ii)このプロセス室を動作させて所望のプロセスを実行するのに必要な所望の一組のプロセス・パラメータを特定する。特定のプロセスを実行するプロセス・パラメータは、例えば、処理ガスの組成と流量、温度、圧力、マグネトロン電力レベル(RFプラズマシステムを装備した実施形態のために、高周波と低周波のRF電力レベルおよび低周波のRF周波数の代りに、あるいは、それらに追加して)のようなプラズマ条件、冷却ガス圧、チャンバ壁面温度などの処理条件にかかわるものである。プロセスセレクタ・サブルーチン153は、或る時点に、チャンバ内で、どのタイプのプロセス(例えば、堆積、ウェハ洗浄、チャンバクリーニング、チャンバのゲッタリング、リフロー)を実行するか制御する。いくつかの実施形態では、プロセスセレクタ・サブルーチンが2つ以上ある場合もある。これらのプロセス・パラメータは、レシピの形式でユーザに提供されるもので、ライトペン/CRTモニタのインタフェースを利用して入力できる。
【0032】
プロセスシーケンサ・サブルーチン155には、特定されたプロセス室とプロセス・パラメータを、プロセスセレクタ・サブルーチン153から受入れ、また様々なプロセス室の動作を制御するプログラムコードがある。複数のユーザは、プロセスセット番号とプロセス室番号を入力できるか、あるいは、単一のユーザは、複数のプロセスセット番号とプロセス室番号を入力でき、したがって、プロセスシーケンサ・サブルーチン155は、これらの選択されたプロセスを、所望の順序でスケジュールする働きをする。好ましくは、プロセスシーケンサ・サブルーチン155は、(i)プロセス室の動作を監視して、これらのプロセス室が使用されているかどうか判定する工程、(ii)使用されているプロセス室で、どんなプロセスが行われているかどうか判定する工程、および(iii)プロセス室の可用性と、実行されるプロセスのタイプに基づいて、所望のプロセスを実行する工程、を実行するプログラムコードを含む。
【0033】
ポーリング法のように、プロセス室を監視する従来の方法が使用できる。どのプロセスを実行するかスケジュールしているときに、プロセスシーケンサ・サブルーチン155は、選択されたプロセスに対して、所望のプロセス条件と比較して用いられているプロセス室の現在の条件、あるいは、それぞれの特定のユーザ入力リクエストの「エージ」、または、スケジュールする優先順位を決定するためにシステムプログラマが含めたいと思っている他の任意の関連ファクタを考慮に入れるように設計できる。
【0034】
次に、どのプロセス室とプロセスセットの組合せを実行する予定であるか、プロセスシーケンサ・サブルーチン155で決定されさえすれば、プロセスシーケンサ・サブルーチン155は、プロセスシーケンサ・サブルーチン155で決定されたプロセスセットにより、プロセス室内で複数の処理タスクを制御するチャンバ・マネージャー・サブルーチン157a〜cに、特定のプロセスセット・パラメータを渡すことで、プロセスセットの実行を開始する。例えば、チャンバ・マネージャー・サブルーチン157aには、プロセス室内で、CVDと洗浄プロセス作業を制御するプログラムコードがある。チャンバ・マネージャー・サブルーチン157はまた、選択されたプロセスセットを実行するのに必要なチャンバ構成要素の動作を制御する様々なチャンバ構成要素サブルーチンの実行も制御する。チャンバ構成要素サブルーチンの例は、基板位置決めサブルーチン160、処理ガス制御サブルーチン163、圧力制御サブルーチン165、ヒータ制御サブルーチン167、プラズマ制御サブルーチン170、エンドポイント検出制御サブルーチン159、ゲッタリング制御サブルーチン169である。CVD室の特定の構成により、実施形態のなかには、上記サブルーチンのすべてを含むものもある一方で、これらのサブルーチンの一部しか含まないものもある。通常当業者であれば、プロセス室内で、どのプロセスを実行するかにより、他のチャンバ制御サブルーチンが含められることが容易にわかるであろう。
【0035】
動作中、チャンバ・マネージャー・サブルーチン157aは、実行されている特定のプロセスセットに基づいて、プロセス構成要素サブルーチンを選択的にスケジュールするか、あるいは呼出す。プロセスシーケンサ・サブルーチン155が、次にどのプロセス室とプロセスセットを実行する予定であるかスケジュールするのとまったく同様に、チャンバ・マネージャー・サブルーチン157aは、プロセス構成要素サブルーチンをスケジュールする。一般に、チャンバ・マネージャー・サブルーチン157aには、様々なチャンバ構成要素を監視する工程、実行されるプロセスセット用のプロセス・パラメータに基づいて、どの構成要素を動作させる必要があるか決定する工程、上記の監視する工程と決定する工程に応じて、チャンバ構成要素サブルーチンの実行を開始する工程が含まれる。
【0036】
次に、特定のチャンバ構成要素サブルーチンの動作を、図1Aと図1Dを参照して説明する。基板位置決めサブルーチン160には、基板をヒータ25の上に載せ、随意に、基板をチャンバ内の所望の高さまで持ち上げて、基板とガス分配マニホルド20との間隔を制御する目的で使用される、チャンバ構成要素を制御するプログラムコードが含まれる。基板がプロセス室15に取入れられるときに、ヒータ25を下げて基板を受取り、次に、ヒータ25を所望の高さまで上げる。動作中、基板位置決めサブルーチン160は、チャンバ・マネージャー・サブルーチン157aから移された上記の支持高さに関するプロセスセット・パラメータに応じて、ヒータ25の移動を制御する。
【0037】
処理ガス制御サブルーチン163には、処理ガスの組成と流量を制御するプログラムコードがある。処理ガス制御サブルーチン163は、安全遮断弁の状態を制御し、さらに、マスフローコントローラ(流量制御装置)のランプアップまたはダウンを行って、所望のガス流量を得る。一般に、処理ガス制御サブルーチン163は、ガス供給管路を開放し、繰り返して(i)必要なマスフローコントローラを読取り、(ii)その読みを、チャンバ・マネージャー・サブルーチン157aから受取った所望の流量と比較し、(iii)必要に応じてガス供給管路の流量を調節して、動作する。さらに、処理ガス制御サブルーチン163には、このガス流量を、危険な数値でないか監視し、また危険な状態が検出されるときに、安全遮断弁を作動させる工程も含まれる。代替実施形態は、処理ガス制御サブルーチンを2つ以上持つことがあり、その場合、それぞれのサブルーチンは、特定のタイプのプロセス、または特定の組のガス管路を制御する。
【0038】
いくつかのプロセスでは、窒素またはアルゴンなどの不活性ガスをチャンバに流し込んでチャンバ内の圧力を安定させた後で、反応処理ガスを導入する。これらのプロセスの場合、処理ガス制御サブルーチン163は、チャンバ内の圧力を安定させるのに必要な時間の間、不活性ガスをチャンバに流し込む工程を含むようにプログラムされ、次に、上述の工程を実行することになる。さらに、処理ガスが、TEOS、TEPO、またはTEBなどの液体前駆体から気化される予定のときには、処理ガス制御サブルーチン163は、バブラ組立体内の液体前駆体を通じて、ヘリウムなどの供給ガスを泡立たせる工程、あるいは液体注入システムを制御して、液体を、ヘリウムなどのキャリヤガスの本流に噴霧または噴出させる工程を含むように作成されることになる。この種のプロセスにバブラが使用されるときには、処理ガス制御サブルーチン163は、この供給ガスの流れ、バブラ内の圧力、バブラの温度を調節して、所望の処理ガス流量を得る。上で考察される通り、所望の処理ガス流量は、プロセス・パラメータとして、処理ガス制御サブルーチン163に移される。
【0039】
さらに、処理ガス制御サブルーチン163には、所与の処理ガス流量に必要な値が入っている記憶テーブルにアクセスすることで、所望の処理ガス流量に必要な供給ガス流量、バブラ圧力、バブラ温度を得る工程も含まれる。これらの必要な値が得られさえすれば、この供給ガス流量、バブラ圧力、バブラ温度を監視して、上記の必要な値と比較し、それに応じて調節する。
【0040】
圧力制御サブルーチン165には、チャンバの排気システム内の絞り弁の開口サイズを調節することで、チャンバ内の圧力を制御するプログラムコードが含まれる。この絞り弁の開口サイズは、総処理ガス流、プロセス室のサイズ、および排気システム用のポンピング設定圧に対して、チャンバ圧力を所望のレベルに制御するように設定されている。圧力制御サブルーチン165を呼出すと、チャンバ・マネージャー・サブルーチン157aから、1パラメータとして、所望または目標の圧力レベルを受取る。圧力制御サブルーチン165は、チャンバに連結された1つまたは複数の従来のマノメータを読取ることで、チャンバ内の圧力を測定し、この測定値(1つまたは複数)を目標圧力と比較し、記憶圧力テーブルからの目標圧力に対応する「PID(比例、積分、微分)」値を得て、これらのPID値により、絞り弁を調節する。
【0041】
別法として、圧力制御サブルーチン165は、特定の開口サイズ(すなわち、固定位置)まで絞り弁を開閉して、チャンバ内の圧力を調節するように作成できる。このようなやり方で、その排気量を制御しても、圧力制御サブルーチン165のフィードバック制御機能は呼出されない。
【0042】
ヒータ制御サブルーチン167には、基板の加熱に使用される発熱体を流れる電流を制御するプログラムコードが含まれる。ヒータ制御サブルーチン167はまた、チャンバ・マネージャー・サブルーチン157aにより呼出されて、目標または設定の温度パラメータを受取る。ヒータ制御サブルーチン167は、ヒータ内にある熱電対の出力電圧を測定し、その測定温度を設定温度と比較し、この発熱体に加えられる電流を増減して設定温度を得ることで、温度を測定する。この温度は、対応する温度を、記憶換算テーブルで調べるか、あるいは、4次の多項式を用いて温度を計算することで、この測定温度から得られる。ヒータ制御サブルーチン167には、ヒータの温度のランプアップまたはダウンを徐々に制御できる能力が含まれる。このような機能は、熱によるセラミック・ヒータの亀裂を減らすのに役立つ。さらに、プロセス安全性に適合するかどうか検出するために、組込みフェールセーフ・モードを含めることもできる。このモードは、プロセス室が適正に設定されていなければ、発熱体の動作を停止することができる。
【0043】
II.模範的な構造
図2は、本発明による集積回路200の概略断面図を示している。図2に示される通り、集積回路200は、NMOSトランジスタ206とPMOSトランジスタ203を含む。これらのトランジスタは、切離され、フィールド酸化膜領域220で互いに電気的に絶縁されている。別法として、チャネル・ストップ拡散と組合わせたトレンチを含め、トレンチ隔離を使用すれば、素子を隔離できる。あるいは、隔離技術を組合わせたものが使用される場合がある。トランジスタ203とトランジスタ206はそれぞれ、ソース領域212、ゲート領域215、ドレーン領域218から成っている。
【0044】
金属前(premetal)の誘電体層221は、トランジスタ203および206を、金属層240から切離しているが、この場合、金属層240と、これらのトランジスタとの接続は、コンタクト224で行われる。金属前の誘電体層221は、例えば、本発明による方法で形成されたBPSG層であったり、また、単一の層または複数の層であることもある。金属層240は、集積回路200に含まれる4つの金属層240、242、244、246の1つである。それぞれの金属層は、金属間の誘電体層227、228、229により、隣接する金属層から切離されている。隣接する金属層は、選択された開口の所で、ビア226により接続されている。平坦化された不活性化層230を金属層246の上に堆積する。
【0045】
本発明によるBPSG層は、集積回路200に示される誘電体層のそれぞれに使用される場合がある。本発明によるBPSG層は、いくつかの集積回路に含まれているダマシン層にも使用できる。ダマシン層では、ブランケット層を基板上に堆積し、選択的に基板までエッチングし、次に、金属で埋めて、エッチバックするか、または研磨して、金属コンタクト224を形成する。金属層を堆積した後で、第2のブランケット堆積を行い、選択的にエッチングする。次に、エッチングされたエリアを金属で埋めて、エッチバックするか、または研磨して、金属コンタクト226を形成する。
【0046】
この簡略化された集積回路200は、例示目的だけのものであることを理解されたい。当業者であれば、他の集積回路、例えばマイクロプロセッサ、特定用途向け集積回路(ASIC)、メモリ素子などの製造に対しても、本発明の方法を実施できよう。
【0047】
III.模範的な二段BPSGプロセス
BPSGは、ICおよび他の電子デバイス、あるいは機械構造物の製造において、様々な用途に利用できる。従来の方法は、単一段のBPSG堆積プロセスを使用して、基板の表面上に構成材料の層を形成し、次に、「RTP(急速熱パルス)」法、または、例えば従来の加熱炉を使用して、その層を加熱することで、BPSG層をリフローする。BPSG堆積プロセスの特性(例えば、堆積速度、基板表面への整合、ウェハ全体の均一性)も、その結果得られるBPSG層の特性(例えば、融点、薄膜の応力、収縮、化学的安定性、吸水性)も、多くのパラメータによって決まる。最近まで、ただ一組の堆積パラメータにより、効率的に(すなわち、経済的に)堆積でき、またICの用途で充分な働きをするBPSG層が生み出されよう。
【0048】
図3A〜図3Cは、従来の単一段のBPSG堆積プロセスの限界を例示したIC300の一部の概略断面図である。図3Aは、BPSG層304が堆積された後の基板302を示している。幅の狭いトレンチ306と幅の広いトレンチ308が、BPSGの堆積の前に、基板302に形成されている。BPSG層304は、部分的に各トレンチを埋めるが、ただし、堆積プロセス中に、ピンチオフされて310、312、ボイド314、316を後に残す。
【0049】
図3Bは、リフロープロセスが始まった後のIC300の一部の概略断面図である。この堆積プロセスは、常圧以下で実行されたものであり、したがって、ボイド318、320が排除されている。リフロープロセスは、一般に、大気圧で行われ、したがって、BPSG層が融けて流動すると、真空により、構成材料が、トレンチ306、308の壁面322、324から、ボイドに引き寄せられる。
【0050】
図3Cは、リフロープロセスが、完了した後のIC300の一部の概略断面図である。BPSG層326が、幅の広いトレンチ308を完全に埋めているが、幅の狭いトレンチ306には、ボイド328が形成されている。トレンチの壁面には、ボイドを完全に埋めるだけの構成材料がなかったために、幅の狭いトレンチに、ボイドが残ったものと考えられる。
【0051】
図4は、凹部332を付けたトレンチ330を有する基板302の概略断面図である。基板上にBPSG層334を形成し、リフローしている。凹部332の付近には、ボイド336が形成されており、これは、トレンチの壁面に凹部がある場合に、代表的なものである。凹部は、トレンチ形成プロセスにおいて発生した人為的なものである。トレンチの形成に用いられる従来のエッチングプロセスは、トレンチが充分に広いか、または浅ければ、凹部を持たないトレンチ壁面を確実に作り出すことができる。しかしながら、トレンチの幅が減少して、トレンチのアスペクト比が大きくなるにつれて、凹部と、それにより生じるボイドの発生率が高まった。
【0052】
さらにコンフォーマルな層、すなわちピンチオフする前に、さらに多くの構成材料をトレンチの壁面に付ける層を堆積すると、ボイドのないBPSGプロセスが得られ、また凹部を発生させるエッチングプロセスが補償されさえもすると考えられた。単一段の堆積プロセスを展開できることを希望して、いくつかのプロセス変数のどれが、薄膜適合性にもっとも大きい影響を及ぼすのか決定する実験が計画された。或る層の整合を高めても、他の薄膜特性が確実に弱められないようにするために、他のいくつかの薄膜特性も検討されたが、結果として、薄膜は、製造できないか、または信頼できなかった。
【0053】
16枚のウェハが生産され、5つの異なるプロセス・パラメータが、ウェハ間で大きい値から小さい値にマトリックス風に変えられるBPSG堆積プロセスを用いて、それらのウェハが1枚1枚製造された。各パラメータの大きい値も小さい値も、BPSG堆積プロセス用の許容範囲内にあった。次に、得られた各ウェハの薄膜特性を測定し、各プロセス・パラメータに対する各薄膜特性の感度が決定された。次に、薄膜特性ごとに、これらのプロセス・パラメータが格付けされた。
【0054】
選択すべきいくつかのプロセス・パラメータとプロセス変数のうち、変えることにした5つのものは、プロセス温度、チャンバ圧力、TEOS流量、オゾン流量、オゾン濃度であった。ウェハごとに、一定のドーピングレベルとドーピング比を使用することに決めた。これらのウェハは、200mmのシリコンウェハであった。温度は、450℃または600℃に選択され、圧力は、150Tまたは700Tに選択され、TEOS流量は、500mgmまたは1000mgmに選択され、オゾン流量は、2500sccmまたは5000sccmに選択され、さらに、オゾン濃度は、6wt%または12.5wt%であった。検討された薄膜特性は、堆積速度、ウェハ全体の厚さの均一性、薄膜の応力、収縮、薄膜の適合性、および、堆積時とリフロー/アニール後の双方のウェット・エッチング速度比(「WERR」)であった。
【0055】
計画された実験の結果は、表1に要約されている。少なくとも2つの結論が、これらの結果に基づくものであった。第1に、高い圧力と高いオゾン:TEOS比は、薄膜の適合性を高めるが、ただし、これにより、堆積速度が、可能性として、好ましくないくらい低いレベルまで低下する。第2に、これらの結果から、ボイドのない埋込みプロセスを行わせるのに充分な構成材料をトレンチの壁面に堆積し、しかも、総堆積時間を短くすることにより許容できるプロセス時間を提供するために、二段の堆積プロセスを展開する可能性のあることが示唆された。低圧堆積プロセスの後で、薄膜の安定性が改善されたことにも注目した。薄膜は、外気にさらされると、その外気から、かなりの量の水を吸収する場合には、不安定であると言われる。ときには、薄膜は、結晶化するか、あるいは固溶体から相分離を受ける。これらの欠陥および他の欠陥は、パーティクル・アッダーとして、ウェハ検査中に検出される場合もある。薄膜が不安定であるか、または再結晶化されると、一般に、ウェハは不良品として廃棄される。
【0056】
【表1】
Figure 0004408565
図5は、高圧、高オゾン:TEOS比のプロセス502で形成されたBPSG膜と、低圧、低オゾン:TEOS比のプロセス504で形成されたBPSG膜について、パーティクル・アッダーと時間の対数・対数グラフである。パーティクル・アッダーは、標準ウェハ検査法を用いて測定された。したがって、単一の高圧、高オゾンTEOS比の堆積工程で作られたBPSG膜は、堆積するのに長い時間がかかるだけでなく、欠陥率も高くなるであろう。
【0057】
図6Aは、二段BPSG堆積プロセスの後の基板602の概略断面図である。
【0058】
BPSG層606の非常にコンフォーマルな第1の部分604を、BPSG層606の高堆積速度の第2の部分608よりも前に堆積した。第1の部分604は、厚さが約600〜700Åであり、また第2の部分608は、厚さが約9,000Åである。非常にコンフォーマルな層は、約700Tの圧力、約300mgmのTEOS流量にて約14.3:1のオゾン:TEOS比で形成された。高堆積速度の層は、約150Tの圧力、約800mgmのTEOS流量にて約5.4:1のオゾン:TEOS比で形成された。この層の双方の部分は、約480〜600℃の基板温度にて形成された。これらの条件のもとに、第1の部分は、約60秒で形成され、また第2の部分は、約90秒で形成された。この高堆積速度の層は、その非常にコンフォーマルな層を、ウェハ処理後に、この外気にさらされないように保護しており、したがって、図5とともに、上で考察されるように、パーティクル・アッダーが減らされる。
【0059】
図6Bは、二段BPSG堆積プロセスとリフローの後の基板602の概略断面図である。BPSG膜616の第1の部分614は、整合を高める堆積レシピを用いて堆積された。BPSG膜の第2の部分618は、堆積速度と薄膜安定性を高める堆積レシピを用いて堆積された(パーティクル・アッダーが減らされた)。 BPSG膜616の第1の部分614の事後熱処理(事後リフロー)WERRは、 BPSG膜616の第2の部分618のWERRよりも大きい。
【0060】
図6Cは、二段BPSG堆積プロセスとリフローの後の基板602の概略断面図である。BPSG膜622の第1の部分620は、整合を高める堆積プロセスを用いて堆積された。BPSG膜622の第2の部分624は、堆積速度と薄膜安定性を高める堆積プロセスを用いて堆積された。BPSG膜622の第1の部分620は、BPSG堆積プロセスの前に、基板602に形成された幅の狭いトレンチ626を埋める。幅の狭いトレンチは、幅が0.1ミクロンよりも短い。
【0061】
図7Aは、BPSG層を形成する二段堆積プロセス700の簡略フローチャートである。基板が提供され(ステップ702)、またBPSG膜の第1の部分が、基板上に、比較的に高い圧力と比較的に高いオゾンTEOS比で形成される(ステップ704)。チャンバ圧力とオゾンTEOS比が下げられ(ステップ706)、またBPSG膜の第2の部分が、第1の部分の上に形成される(ステップ708)。BPSG膜を堆積した後で、BPSG膜を随意にリフローする場合がある(ステップ710)。
【0062】
図7Bは、ドーパント・バイパスを用いて、BPSG層を形成する二段堆積プロセス701の簡略フローチャートである。この図は、図9とともに、以下で考察される。基板が提供され(ステップ703)、またBPSG膜の第1の部分が、比較的に高い圧力と比較的に高いオゾンTEOS比で形成される(ステップ705)。ドーパントの流れの少なくとも一部を、バイパスに切替える(ステップ707)ことで、チャンバ圧力を下げる(ステップ709)と同時に、オゾンの流れに対して、TEOSの流れが増しても、ドーパントの流れが安定するようにしている。所望の圧力(堆積圧力である場合も、ない場合もある)に達すると、ドーパントの流れの一部が、バイパスから真空室に切替えられて(ステップ711)、BPSG膜の第2の部分が、第1の部分の上に形成される(ステップ713)。BPSG膜を堆積した後で、BPSG膜を随意にリフローする場合がある(ステップ715)。
【0063】
図8は、基板800の一部を、走査型電子顕微鏡(「SEM」)で描いた図である。トレンチ802、804は、シリコン・ウェハ806に形成され、BPSG層808で埋められた。トレンチの1つ804の形成中に、凹部810が偶然発生した。BPSG層808は、図7Aに示される上述の二段堆積プロセスにより堆積され、リフロー後に、ボイドのないように、これらのトレンチを完全に埋めた。普通なら予想されたはずのボイドが、凹部810の付近には、まったく残っていなかった。トレンチ804の幅812は約0.06ミクロンであり、このトレンチのアスペクト比は、約5.5:1である(すなわち、このトレンチは、約0.33ミクロンの深さである)。このように狭い幅と高アスペクト比を有するトレンチをエッチングしようとしたことから、凹部810が発生したと考えられる。
【0064】
IV.ドーパント・バイパス
異なる堆積条件で、二層BPSG膜を堆積することは、これらの条件を単に変えるという問題ではないことがわかった。所望の性質を持つ薄膜を保証するため、特にその薄膜のリフロー特性を維持するために、第1の堆積条件と、第2の堆積条件との間のスムーズな遷移が重要である。BPSG層のリフロー特性は、ドーパント濃度によって決まり、一般に、ドーパント濃度が高くなると、リフロー特性が改善され、例えば、融点が低くなり、かつ流動性が高まる。TEOS流量を変えると、リン・ドーパント相対濃度を維持するときに、特定の問題が発生した。
【0065】
TEPOは、代表的なBPSG堆積温度で分解しやすい。それゆえ、TEPOの流れをスタートする従来のやり方は、堆積が始まった後でのみ、キャリヤガスを、液体バブラまたは他の供給システムに流し始めることである。とはいえ、TEPOの安定した流れを確立するために、通常、約10秒かかる。ドーパント不足の界面層が発生する。上にくるBPSGがドーパント不足でなくて、また適正にリフローするから、基板に隣接するドーパント不足の界面層は、リフローの問題を起こさない。しかしながら、BPSG層の中央にあるドーパント不足層は、リフローを低下させ、それゆえ、ボイドを埋める能力を低下させる。
【0066】
図9は、従来のシステムの使用に起因するドーパント不足領域を持たないBPSG層を堆積するために、バイパス902を備えたCVD堆積装置900の概略図である。この装置は、多層BPSG膜を堆積する目的で用いられるが、この装置はまた、単層ドープ・シリコンガラス膜、または、他のドープ・シリコンガラス膜、例えば、「PSG(リン・シリコンガラス)」、「BSG(ホウ素シリコンガラス)」、「AaSG(ヒ素シリコンガラス)」、もしくは、それらに類する薄膜にも有利に使用できる。このバイパスは、ドーパントとシリコンを含有するガス(例えば、TEOS蒸気)を、ドーパント供給管路904から真空システム88の前方管路908へ切換え、したがって、迂回して真空室15を避けて、ドーパントとシリコンを含有するガスを真空室15に送る前に、このドーパントの流れを安定化させている。
【0067】
キャリヤガス・ソース910からのキャリヤガス(例えば、ヘリウム)が、シリコンソース911からのシリコンソース・ガス(例えば、TEOS蒸気)およびドーパント・ソース912、913からのドーパント(例えば、TEPO蒸気および/またはTEB蒸気)と結合され、またそのドーパントとキャリヤガスが真空システム88に直接に放出されている間に、所望の流量が設定される。液体ソースから、TEOS、TEB、TEPOがキャリヤガス管路909に注入される。バルブ914は、ドーパント向けの出力を選択する。適切な時間に、バルブ914を切替えて、前述の出力を、真空システム88から真空室15に変えることがある。
【0068】
図10と図11は、ドーパント・バイパス技法を用いる場合と、ドーパント・バイパス技法を用いない場合に、堆積された多層BPSG膜の深さに対する元素解析である。図10は、ドーパント・バイパスを用いないで、CVDシステムで二段BPSG層を形成したウェハの表面(ゼロの深さ)1010からの深さに対する「P(リン)」1002、「B(ホウ素)」1004、「O(酸素)」1006、「Si(シリコン)」1008の濃度(wt%で表わす)を示している。リンの濃度は、約8ミクロンの深さでは、かなり変動し、約0.5ミクロンの厚さあたりにドーパント不足領域を形成する。ドーパント濃度の変動は、高圧堆積(約4ミクロンよりも深い層を形成した)から、低圧堆積(深さ約4ミクロンよりも浅い層を形成した)へ遷移が行われたときに、ドーパントの流れが安定しなかったことを示している。このドーパント不足領域は、BPSG膜内に、さらに高い融点、さらに小さい粘度の領域を発生させることで、リフローを妨げる。
【0069】
図11は、ドーパント・バイパス技法を利用して、二段プロセスでBPSG層を形成した類似ウェハの深さに対する同一元素の濃度を示している。このグラフのy軸は、図10に示されるレンジの1/2の拡大目盛を持っている。それゆえ、ドーピングレベルの変動は、図10よりも図11の方が、わかりやすい。リンの濃度1102とホウ素の濃度1104は、図10に示された前の層のように変動せず、したがって、ドーパント不足領域の形成を防止する。この場合、薄膜の低圧部分と、薄膜の高圧部分との間の遷移が、約0.2〜5ミクロンの深さで行われる。
【0070】
チャンバに流れ込むガスの総量は、双方の期間の間、ほぼ一定であった。この場合、チャンバを迂回したTEOS、ドーパント、キャリヤガスの流れの差は、アルゴンまたは窒素などの非堆積ガス流で補われてきた。チャンバ圧力は、図1A〜図1Dと一緒に上述で説明される通り、前方管路とチャンバ間の絞り弁を開放することで、選択高圧値から選択低圧値へ変更された。ドーパントと、関連キャリヤガスは、高圧堆積と低圧堆積間の遷移中に、排気システムからチャンバに急に切替えられた。とはいえ、図9のバルブ914は、ドーパントを、出力から出力へと、徐々に、または決められた速度で転換できるようにする定比弁である場合もある。排気システムへのバイパスを用いてドーパントの流れを設定した後で、成長室にドーパントを導入すると、ドーパント不足領域を持たない層が得られるだけでなく、一般に、従来の堆積中にドーパントの流れを安定させるのに求められる約10秒が不要となるから、さらに短い時間で、このような堆積を完了させることもできる。
【0071】
このドーパント・バイパスは、単層ドープ・シリコンガラスのプロセスにも使用される。図12は、基板1210内のアクティブ回路領域1208の部分1204、1206の上にBSG層1202が形成されているICの一部を示している。このアクティブ回路領域は、場合によっては、例えば電界効果トランジスタ(「FET」)になることがあり、そのとき、部分1204、1206は、ドレーン領域とソース領域である。BSG層は、下にあるシリコン基板1210(これは、例えばバルク・シリコンウェハ上のエピタキシャル層であることもある)に、ホウ素であるp型ドーパントを提供する。BSG層を形成した後で、熱処理プロセスにおいて、ホウ素をシリコンに打ち込む。従来の方法から、上で考察される通り、ドーパント不足領域がシリコン基板にもっとも近いBSG層が得られる。この領域内のドーパントの濃度が低下すると、シリコンへの拡散に使えるドーパントの量が制限される。一般に、多量のドーパントを提供することが望ましい。堆積を始める前に、バイパスを用いてドーパントの流れを設定すれば、ドーパントを、望ましくはさらに高い濃度で、初期ガラス層に取入れることができる。このガラス層が形成されると、バイパスにより、ドーパントの流れを少なくすることもでき、したがって、この層の最終部分は、ドーパント濃度が低下する場合があり、それにより、薄膜の安定性が高まる。
【0072】
図13は、バイパス技法を用いて、ドープ・シリコンガラス膜1300を生成する方法のフローチャートである。シリコンウェハなどの基板を、真空室に入れる(ステップ1302)。ドーパントとキャリヤガスを真空システムに流すことで、ドーパントの流れを設定する(ステップ1304)。堆積ガスを真空室に流すときに、ドーパントの流れを真空室に切替えて(ステップ1306)、ドーパント不足領域を持たないドープ・シリコンガラス層を、基板上に成長させる(ステップ1308)。随意に、熱処理を用いて、ドープ・シリコンガラス層からのドーパントを基板に打ち込んで(ステップ1310)、ドープ・シリコンガラス層を剥離する(ステップ1312)。
【0073】
上述の記載は、本発明の特定の実施形態を完全に説明したものであるが、様々な変更例、変形例、代替例が用いられてもよい。例えば、金属前の誘電体層として、トレンチ内にBPSG層を形成することに関して、詳細な例が与えられたが、本発明は、金属間の誘電体層に用いられてもよい。同様に、バイパスを用いて、ドーパント不足領域の形成を防止する処置が、リンまたはヒ素のように、ホウ素以外のドーパントに用いられてもよい。他の変形例も、当業者には明らかであろう。これらの等効例や代替例も、本発明の範囲に含めるつもりである。そえゆえ、本発明の範囲は、記述された実施形態には限定されず、以下のクレームで定められるものとする。
【図面の簡単な説明】
【図1A】 本発明によるCVD装置を簡潔に表わした説明図である。
【図1B】 マルチチャンバ・システム内の成長室に対して、CVDシステム用のユーザインタフェースを簡潔に表わした説明図である。
【図1C】 成長室に対して、ガスパネルとガス供給管路の概略図である。
【図1D】 特定の実施形態によるシステム制御ソフトウェアの階層制御構造のブロック線図の概略図である。
【図2】 本発明による集積回路の一部の概略断面図である。
【図3A】 リフローされたドープ・シリコンガラスで埋められている基板上のトレンチの概略断面図である。
【図3B】 リフローされたドープ・シリコンガラスで埋められている基板上のトレンチの概略断面図である。
【図3C】 リフローされたドープ・シリコンガラスで埋められている基板上のトレンチの概略断面図である。
【図3D】 リフローされたドープ・シリコンガラスで埋められている基板上のトレンチの概略断面図である。
【図4】 凹部と、それにより生じたボイドを有するトレンチの概略断面図である。
【図5】 異なる条件のもとでBPSG膜を堆積した場合に、堆積後に、パーティクル・アッダーと時間を示す線図である。
【図6A】 本発明の実施形態により、ギャップのないやり方で、BPSG層で埋められている基板上のトレンチの概略断面図である。
【図6B】 本発明の実施形態により、ギャップのないやり方で、BPSG層で埋められている基板上のトレンチの概略断面図である。
【図6C】 本発明の実施形態により、ギャップのないやり方で、BPSG層で埋められている基板上のトレンチの概略断面図である。
【図7A】 本発明の実施形態による模範的な二段BPSG堆積プロセスのフローチャートである。
【図7B】 本発明の実施形態による模範的な二段BPSG堆積プロセスのフローチャートである。
【図8】 本発明のプロセスにより、凹部を有する0.06ミクロンのトレンチが、ボイドなしで埋められた基板の一部を走査型電子顕微鏡で描いた概略図である。
【図9】 本発明の一実施形態により、ドーパント・バイパスを持つCVD装置の概略図である。
【図10】 ドーパント・バイパスを使用して、またドーパント・バイパスを使用せずに、二段堆積プロセスから形成されたBPSG層の元素濃度の線図である。
【図11】 ドーパント・バイパスを使用して、またドーパント・バイパスを使用せずに、二段堆積プロセスから形成されたBPSG層の元素濃度の線図である。
【図12】 本発明の別の実施形態により、シリコン基板に隣接するドーパント不足領域を持たないドープ・シリコンガラス層を有する集積回路の一部の概略断面図である。
【図13】 本発明の一実施形態により、ドーパント不足領域を持たないドープ・シリコンガラス層を形成する方法の簡略フローチャートである。

Claims (8)

  1. チャンバ内で、基板上にBPSG(borophosphosilicate glass)膜を形成する方法であって、
    (a)第1のチャンバ圧力と第1の酸化剤シリコンソース比にて、第1のBPSG層を形成する工程と、
    (b)チャンバ圧力を前記第1のチャンバ圧力から下げる工程と、
    (c)その後、前記第1の酸化剤シリコンソース比を第2の酸化剤シリコンソース比まで下げる工程と、
    (d)第2のチャンバ圧力と第2の酸化剤シリコンソース比にて、第2のBPSG層を形成する工程と
    を含む方法。
  2. 工程(d)の後で、BPSG膜を加熱してBPSG膜をリフローする工程をさらに含む請求項1に記載の方法。
  3. 第1のチャンバ圧力が700Tで、また第2のチャンバ圧力が150Tである請求項1又は2に記載の方法。
  4. シリコンソースガスがTEOS(tetraethylorthosilane)から成り、また酸化剤ガスがオゾンから成る請求項1〜3のいずれか一項に記載の方法。
  5. 工程(a)の後で、かつ、工程(b)の前に、第1のドーパントガスの流れを、チャンバから排気システムへ転換する工程と、
    工程(b)の後で、かつ、工程(d)の前に、第2のドーパントガスの流れを、前記排気システムから前記チャンバへ転換する工程と
    をさらに含む請求項1〜4のいずれか一項に記載の方法。
  6. 前記第1の層が、第1の堆積速度にてBPSGの堆積により形成され、また前記第2の層が、前記第1の堆積速度よりも速い第2の堆積速度にてBPSGの堆積により形成される請求項1〜5のいずれか一項に記載の方法。
  7. 前記第1の層と前記第2の層を形成する前記工程の少なくとも1つが、480〜600℃の間の堆積温度でBPSGを堆積することで実行される請求項1〜6のいずれか一項に記載の方法。
  8. 前記工程(a)の前に、第1のドーパントガスを排気システムに入れる工程と、
    前記第1のドーパントガスの流れが、前記第1のチャンバ圧力にて安定した後で、前記ドーパントの流れを、前記排気システムから前記チャンバに転換する工程と、
    をさらに含む請求項5に記載の方法。
JP2000547651A 1998-05-05 1999-04-29 二段bpsg堆積プロセス Expired - Fee Related JP4408565B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/076,170 1998-05-05
US09/076,170 US6218268B1 (en) 1998-05-05 1998-05-05 Two-step borophosphosilicate glass deposition process and related devices and apparatus
PCT/US1999/009470 WO1999057758A1 (en) 1998-05-05 1999-04-29 A two-step borophosphosilicate glass deposition process and related devices and apparatus

Publications (3)

Publication Number Publication Date
JP2002514013A JP2002514013A (ja) 2002-05-14
JP2002514013A5 JP2002514013A5 (ja) 2009-11-19
JP4408565B2 true JP4408565B2 (ja) 2010-02-03

Family

ID=22130376

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000547651A Expired - Fee Related JP4408565B2 (ja) 1998-05-05 1999-04-29 二段bpsg堆積プロセス

Country Status (6)

Country Link
US (1) US6218268B1 (ja)
EP (1) EP1078396A1 (ja)
JP (1) JP4408565B2 (ja)
KR (1) KR100635180B1 (ja)
TW (1) TW426913B (ja)
WO (1) WO1999057758A1 (ja)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6384466B1 (en) * 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
JP2001305368A (ja) * 2000-04-21 2001-10-31 Shin Etsu Chem Co Ltd 光導波路基板の製造方法
US6489254B1 (en) 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
TW479315B (en) * 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
US6489253B1 (en) * 2001-02-16 2002-12-03 Advanced Micro Devices, Inc. Method of forming a void-free interlayer dielectric (ILD0) for 0.18-μm flash memory technology and semiconductor device thereby formed
WO2002069394A1 (en) * 2001-02-27 2002-09-06 Fairchild Semiconductor Corporation Process for depositing and planarizing bpsg for dense trench mosfet application
US6383874B1 (en) * 2001-03-07 2002-05-07 Advanced Micro Devices, Inc. In-situ stack for high volume production of isolation regions
JP5175414B2 (ja) * 2001-06-27 2013-04-03 アプライド マテリアルズ インコーポレイテッド 膜形成方法及び装置
US6860138B1 (en) * 2002-02-21 2005-03-01 Taiwan Semiconductor Manufacturing Company Real-time detection mechanism with self-calibrated steps for the hardware baseline to detect the malfunction of liquid vaporization system in AMAT TEOS-based Dxz chamber
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7062141B2 (en) * 2002-12-12 2006-06-13 Applied Materials, Inc. Deposition of thick BPSG layers as upper and lower cladding for optoelectronics applications
JP2004214610A (ja) * 2002-12-20 2004-07-29 Renesas Technology Corp 半導体装置の製造方法
US7205205B2 (en) * 2003-11-12 2007-04-17 Applied Materials Ramp temperature techniques for improved mean wafer before clean
US20050186339A1 (en) * 2004-02-20 2005-08-25 Applied Materials, Inc., A Delaware Corporation Methods and apparatuses promoting adhesion of dielectric barrier film to copper
US7074690B1 (en) * 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7238586B2 (en) * 2005-07-21 2007-07-03 United Microelectronics Corp. Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
JP4695158B2 (ja) * 2008-04-23 2011-06-08 富士通セミコンダクター株式会社 半導体装置の製造方法
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9142422B2 (en) * 2013-11-04 2015-09-22 Globalfoundries Inc Methods of fabricating defect-free semiconductor structures
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9455136B2 (en) 2015-01-23 2016-09-27 Infineon Technologies Austria Ag Controlling the reflow behaviour of BPSG films and devices made thereof
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9997479B1 (en) * 2016-11-30 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing redistribution layer
JP7346218B2 (ja) * 2018-12-06 2023-09-19 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0232748A1 (de) 1986-01-22 1987-08-19 Siemens Aktiengesellschaft Verfahren zur Auffüllung von Isolationsgräben in integrierten Halbleiterschaltungen
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5314845A (en) 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
EP0421203B1 (en) 1989-09-28 1996-01-03 Applied Materials, Inc. An integrated circuit structure with a boron phosphorus silicate glass composite layer on semiconductor wafer and improved method for forming same
US5344797A (en) * 1992-10-30 1994-09-06 At&T Bell Laboratories Method of forming interlevel dielectric for integrated circuits
JP2809018B2 (ja) 1992-11-26 1998-10-08 日本電気株式会社 半導体装置およびその製造方法
GB9407512D0 (en) 1994-04-15 1994-06-08 Ici Plc Catalysts
KR0144228B1 (ko) 1995-03-04 1998-08-17 김주용 다층 금속배선의 층간 절연막 형성 방법
US6009827A (en) 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5817566A (en) * 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US6069058A (en) * 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US5899748A (en) * 1997-05-21 1999-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for anchoring via/contact in semiconductor devices and devices formed
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6025263A (en) * 1997-07-15 2000-02-15 Nanya Technology Corporation Underlayer process for high O3 /TEOS interlayer dielectric deposition
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane

Also Published As

Publication number Publication date
WO1999057758A1 (en) 1999-11-11
EP1078396A1 (en) 2001-02-28
JP2002514013A (ja) 2002-05-14
KR100635180B1 (ko) 2006-10-16
TW426913B (en) 2001-03-21
US6218268B1 (en) 2001-04-17
KR20010043369A (ko) 2001-05-25

Similar Documents

Publication Publication Date Title
JP4408565B2 (ja) 二段bpsg堆積プロセス
US7459405B2 (en) Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
US6503843B1 (en) Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US7674727B2 (en) Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6329297B1 (en) Dilute remote plasma clean
US6360685B1 (en) Sub-atmospheric chemical vapor deposition system with dopant bypass
JP4364438B2 (ja) 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
JP4866247B2 (ja) Pmd層の限定された熱履歴の形成
US6451686B1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6797646B2 (en) Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060327

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090507

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090507

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090707

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20090929

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091110

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121120

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121120

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131120

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees