JP4232105B2 - 原子層堆積方法 - Google Patents

原子層堆積方法 Download PDF

Info

Publication number
JP4232105B2
JP4232105B2 JP2004524677A JP2004524677A JP4232105B2 JP 4232105 B2 JP4232105 B2 JP 4232105B2 JP 2004524677 A JP2004524677 A JP 2004524677A JP 2004524677 A JP2004524677 A JP 2004524677A JP 4232105 B2 JP4232105 B2 JP 4232105B2
Authority
JP
Japan
Prior art keywords
gas
flowing
reaction
atomic layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004524677A
Other languages
English (en)
Other versions
JP2005533183A (ja
Inventor
ポール, ジェイ. カストロビロ,
セム バセリ,
ガロ, ジェイ. ダーデリアン,
ガーテジ, エス. サンドゥー,
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2005533183A publication Critical patent/JP2005533183A/ja
Application granted granted Critical
Publication of JP4232105B2 publication Critical patent/JP4232105B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Led Devices (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は原子層堆積方法に関する。
原子層堆積法(ALD)は、典型的には大気圧より低い圧力に維持された堆積反応室内の基板上に、複数の単分子層を堆積することを伴う。このような方法の典型例には、反応室中に受容される基板上に第1単分子層を形成するのに有効なように、単一気化前駆体を堆積反応室に供給することが含まれる。その後、第1堆積前駆体の流れを停止し、基板に吸着しないで残った第1前駆体のすべてを反応室から除去するのに有効なように、不活性パージガスが反応室を通して流される。その後、第1単分子層の上に、又は第1単分子層と共に第2単分子層を形成するのに有効なように、第1前駆体と異なる第2気化前駆体が反応室に流される。更なる前駆体は更なる単分子層を形成することが可能であり、また、上記の過程を所望の厚さになるまで繰り返すことも可能であり、そして合成又は複合層が基板上に形成されることになる。
次の前駆体のための基板上にきれいな反応表面を提供するために、基板に吸着されなかったガス分子又は反応しなかったガス又は反応副生成物を反応室から除去することは、パージすることに望まれる目的又は効果である。本明細書中において、反応副生成物とは、反応室に流れるあらゆる堆積前駆体の反応から生じ、基板上に堆積されることが望まれないあらゆる物質(ガス、液体、固体又はそれらの混合物を問わない)のことである。さらに本明細書中において、中間反応副生成物又は反応中間副生成物とは、基板上に所望の単分子層を形成するための前駆体が完全に反応しないことにより形成された反応副生成物のことである。トポグラフィに大きな変化がある場合、及び/又は基板上に高アスペクト比の構成要素がある場合、未反応ガス又は反応副生成物を反応室から最終的に除去するために、開口内の深くから移動させることは困難である。さらに、ある種の反応副生成物、特に中間反応副生成物は気体状ではないこともあり、典型的な前駆体のパルス出力の短い時間内では、気体状反応副生成物を形成するようには十分に反応しないこともあった。したがって、パージガスのパルス出力は、基板及び反応室からこのような中間反応副生成物を除去することに対しては効果的又は十分ではなかった。
例えば、TiCl及びNHを用いる窒化チタンの原子層堆積について考えると、所望の堆積生成物は、所望の本質的に気体状の副生成物であるHClガスを伴ったTiNである。また、気体状であっても基板の開口から除去するのは困難である反応中間副生成物が存在するかもしれないことも考慮しなければならない。さらに、HCl形成の前に反応中間副生成物が固相及び/又は液相である場合、TiNとHClへの完全な反応が起こる前のところでは、完全な除去はいっそう多くの問題をはらんでいる。
また、他の堆積前駆体として、トリメチルアルミニウム(TMA)及びオゾンを用いるAlの原子層堆積について考える。おそらくこの堆積法では、有効なオゾン前駆体の供給を達成することは、反応室内でのオゾンの限られた存続時間に起因して、幾分困難であるかもしれない。具体的には、オゾン分子は、3つのO分子を形成するために急速分解及び/又は他のオゾン分子と化合する、酸素の本質的に不安定な反応形態である。それとは関係なく、オゾンの供給における所望の目標は、排除されるべき反応副生成物としてOを伴った、基板の表面へのOからの酸素原子の吸着である。勿論、基板に吸着する酸素原子の完全な単分子層を形成するために開口内により多くのOが入る必要があると同時に、基板上の開口内の深くに生じるOは、そこから除去されなければならない。換言すると、より多くのOが入ると同時に、生じるOは出ていかなければならない。
本発明は、上記の問題を解決するためになされ、上述の問題点を改良したものであるが、これに限定されるものではない。本発明は、(上述の背景技術の説明や明細書の他の部分、又は図面についての解釈又は他の限定的な参照なしに)文字通り表わされた添付の特許請求の範囲によって、及び均等論に従ってのみ限定されるものである。
本発明には、原子層堆積方法が含まれる。一実施例において、原子層堆積方法には、堆積反応室内に半導体基板を供給する過程が含まれる。基板上に第1単分子層を形成するのに有効なように、堆積反応室内の基板に第1前駆ガスが流される。第1単分子層が形成された後、反応中間ガスが堆積反応室内の基板に流される。反応中間ガスが流れている状態の下で、反応中間ガスは、第1前駆ガスを流すことから生じる中間反応副生成物と反応可能である。反応中間ガスを流した後、第1単分子層の上に第2単分子層を形成するのに有効なように、堆積反応室内の基板に第2前駆ガスが流される。
一実施例において、原子層堆積方法には、堆積反応室内に半導体基板を供給する過程が含まれる。基板上に第1単分子層を形成するのに有効なように、堆積反応室内の基板に第1前駆ガスが流される。第1単分子層が形成された後、複数の不活性パージガスパルスを堆積反応室内の基板に流し、この複数の不活性パージガスパルスには、堆積反応室にガスが供給されない、少なくとも2つの隣接する不活性パージガスパルスの間の少なくともある期間が含まれるようにする。複数の不活性パージガスパルスの後、第1単分子層上に第2単分子層を形成するのに有効なように、堆積反応室内の基板に第2前駆ガスが流される。更に、上記2つの隣接する不活性パージガスパルスの間の総期間は、堆積反応室内の基板に反応中間ガスを流す過程を含み、この反応中間ガスは、この反応中間ガスが流れている状態の下で、上記第1前駆ガスを流すことから生ずる中間反応副生成物と反応可能である、
なお、以下では「前駆体」という語句を使用する場合もあるが、これは「前駆ガス」と同じ意味である。
他の態様及び実施例も検討されている。
本発明の好適実施例を添付の図面を参照して以下に説明する。本発明の一態様による原子層堆積方法には、堆積反応室内に半導体基板を位置決めする過程が含まれる。本明細書中において、用語「半導体基板」又は「半導性の基板」は、半導体ウェーハ(単体又はその上に他の材料を含む組立体のいずれか)、及び半導体材料層(単体又は他の材料を含む組立体のいずれか)のようなバルク半導体材料を含むがこれに限定されない半導体材料を含むあらゆる構造体を意味するものと定義される。用語「基板」は、上述の半導体基板を含むがこれに限定されないあらゆる支持構造体のことを言う。
基板上に第1単分子層を形成するのに有効なように、堆積反応室内の基板に第1前駆ガスが流される。第1前駆ガスは、現存するもの又はこれから開発されるものに関わらず、あらゆるものが考えられる。単なる一例としては、所望の最終的な堆積生成物又は層がTiNである場合、第1前駆体の一例は、TiCl又はNHであろう。さらに単なる一例としては、形成される最終的な層又は生成物がAlであれば、典型的な第1前駆ガスには、トリメチルアルミニウム及びオゾンが含まれる。単なる一例としては、TiClを用いる典型的な第1単分子層には、チタン又はチタン錯体が含まれるが、NHに関しては窒素が少なくとも含まれる。トリメチルアルミニウムに関しては、典型的には酸素原子に吸着したオゾンと共に、第1単分子層にはアルミニウム錯体が含まれる。勿論、プラズマを用いても又は用いなくても、あらゆる適当な温度、圧力、流速、又は他の動作パラメータは、特定のこれらの組み合わせが好ましいわけではなく、又は本発明の一部を構成することなく、当業者により適当に選択され最適化され得る。
第1単分子層の形成後、堆積反応室内の基板に反応中間ガスが流される。本明細書中において、「反応中間ガス」とは、反応中間ガスが流れている状態の下で、第1前駆体を流すことから生じる中間反応副生成物と反応することが可能なガスのことである。さらに好ましくは、反応中間ガスは、反応中間ガスが流れている状態の下で、第1単分子層や、反応中間ガスが流れている状態の下で反応器内に残っているいかなる第1前駆体とも反応しないものである。さらに、好適な実施例においては、反応中間ガスは、反応中間ガスが流れている状態の下で、基板の露出した如何なる部分とも反応することが不可能、且つ反応しない。
一態様において、本発明は、第1前駆ガスが流れる間に中間反応副生成物が実際に生じるか否かに関わらず、反応中間ガスの流れを考慮している。さらに他の好適な態様においては、本発明はまた、第1前駆ガスが流れる間にいくらかの中間反応副生成物が形成され、そして反応中間ガスが流れる間に反応中間ガスを中間反応副生成物と反応させることを考慮している。
堆積反応室内の基板への反応中間ガスの流れの条件(すなわち温度、圧力、流速等)は、当業者によって最適化されるものであるが、本発明のあらゆる態様に対して特に直接関係があるわけでも、また特に好ましい値があるわけでもない。単なる一例としては、その条件は、第1前駆ガスの流れ、第2前駆ガスの流れ及び/又は不活性パージガスの流れの何れかの条件と同じか又は異なるものであろう。一つの好ましい態様において、反応ガスの流れは、例えば反応室内のプラズマ発生及び反応室とは離れたプラズマ発生の一つ又は両方によって、プラズマ増強される。
単一構成か混合構成に関わらず、選択された特定の反応中間ガスは、反応中間ガスが流れている状態の下で反応中間ガスが反応することが可能な、第1前駆体の流れから生じる少なくとも一つの中間反応副生成物に最低でも依存する。単なる一例として、考えられる中間反応ガスの2つの構成要素としてはCl及びHが含まれ、これらはTiCl及びNH前駆体の一方又は両方に特に有用であると考えられる。例えば、TiCl及びNHの反応副生成物に関しては、これらにはTiCl、TiCl及びNHの錯体が含まれる。Cl及び/又はHは、それの最終的な化学量論的等化反応副生成物(すなわち気体状のHCl)への変化を促進するために、これらの反応副生成物と反応するのに有用となるように、又は、反応中間ガスの流れによって、他のガスによって、若しくはガスの流れ以外によって反応器からより簡単に一掃でき得る他の生成物を形成するために反応するのに有用となるように、反応器に提供され得る。
反応中間ガスを流した後、第1単分子層の上に第2単分子層を形成するのに有効なように、堆積反応室内の基板に第2前駆ガスが流される。ここで、「上に」とは勿論、少なくとも部分的に直接物理的に第1単分子層と接触していることを意味する。第2前駆体は、典型的には第1前駆体とは組成が異なることが好ましい。さらに好ましくは、反応中間ガスは、反応中間ガスが流れている状態の下で、第2前駆体と反応する可能性がないように選択される。単なる一例として、典型的な第2前駆体には、上述されたそれぞれの典型的な堆積反応において、上記のTiCl、NH、TMA及びオゾンの何れかが含まれる。現存する又はこれから開発されるあらゆる原子層堆積方法によると、それによって本質的に所望な堆積生成物(すなわちTiN又はAl、それぞれの前駆体については上記参照)を形成するために、又は下層の第1単分子層と本質的に必ずしも反応しない第2単分子層を形成するために、形成された第2単分子層は、第1単分子層と結合し得る。第2前駆体の流れの特定の条件は、当業者によって最適化され得るが、勿論ここに開示する本発明の材料又は好適態様を構成するものではない。
単なる一例としては、図1は本発明の一態様による、単に一処理の時間に対する流速のグラフを表わす。図1において、P1は第1前駆体が反応室に流れる間の時間を示し、RIは反応中間ガスが基板に流れる間の時間を示し、P2は第2前駆体が反応室に流れる間の時間を示す。すなわち、図1は、第1前駆ガスの流れ、反応中間ガスの流れ、及び第2前駆ガスの流れが、堆積反応室内の基板への複数の時間的に間隔を開けられたガスのパルス出力を集合的に構成している好適実施例を表わす。ある好適実施例において、場所10に例示されるように、第1前駆ガスの流れと反応中間ガスの流れの間に、その間反応室にガスが供給されないある時間間隔がある。さらに好適実施例においては、参照符号20で単に一例として示されるように、反応中間ガスの流れと第2前駆ガスの間に、反応室にガスが供給されない期間がある。それぞれの流れ及びその間の時間の具体的な長さ及び速度は、当業者により最適化され、勿論ここに開示される本発明の材料及び好適態様を構成するものではない。さらに、第1前駆ガスのパルス出力及び第2前駆ガスのパルス出力は、時間的にのみ規定され又は関連するものであり、勿論、第1に規定された前駆ガスの流れ又はパルス出力が、常に反応室への第1前駆体の流れである必要はない。したがって同様に、図を見ている者が時間軸のどこの部分を見ているかによって、第2前駆ガスのパルス出力が第1前駆ガスのパルス出力としても考え得るし、その逆もあり得る。
図1は、第1前駆体の供給時間P1と第2前駆体の供給時間P2との間の総時間Aが存在する実施例を表わす。総時間Aは、総時間の間に、反応中間ガスの流れRIを除いてガスが反応室に供給されないことによって特徴付けられる。しかしながら、本発明はまた、第1前駆体の供給及び第2前駆体の供給の中間の他のガスの流れをも考慮するものである。単なる一例としては、これらはある態様として図2−5に示される。図2は、反応中間ガスのパルス出力RIを流した後に堆積反応室内の基板に流す他のすべてのガスから時間的に間隔を開けられた、不活性ガスのパルス(IN)状の不活性パージガスの流れを表わす。すなわち、単なる一例として、典型的な図2の線図で示した工程は、単に反応中間ガスの流れRIだけによる時間以上の、第1前駆体の供給時間P1と第2前駆体の供給時間の間の総時間Bを有することを示している。
図3は、図2の実施例に対して、第1前駆体の流れと第2前駆体の流れの間の不活性ガスのパルス出力と反応中間ガスのパルス出力の順番が逆となっている、図2の実施例に類似した他の実施例を表わす。
図4は、ある態様では、上述のような何れの反応中間ガスの流れに依存しない、他の典型的な原子層堆積方法を表わしている。具体的に単なる一例としては、図4は、前駆体の流れP1から第1単分子層を形成後、少なくとも2つの隣接する不活性パージガスのパルス出力INの間に、反応室にガスが供給されない少なくとも幾らかの時間(すなわち、期間50)が存在するように、不活性パージガスのパルス出力が時間的に間隔を開けられた状態での、堆積反応室内の基板への複数の不活性パージガスのパルス出力INの流れを表わしている。単なる一例としてかつ限定はされないが、このような複数の時間的に間隔を開けられた不活性パージガスのパルス出力は、特に基板上の高アスペクト比の開口内から、反応中間副生成物を除去するのを容易にし得る。本明細書中において、「2つの隣接する不活性パージガスのパルス出力」とは、その間に他のパージガスのパルス出力を有さない2つの不活性パージガスのパルス出力のことを言う。表現「2つの直接隣接する不活性パージガスのパルス出力」とは、その間にガスのパルス出力を有さない2つの不活性パージガスのパルス出力のことを言う。
図4は、第1前駆体の流れと第2前駆体の流れの間の複数の不活性パージガスのパルス出力が、合計2つの場合の典型的な実施例を表わす。勿論、反応室へのパージガスのパルス出力の数は合計で2つよりも多くても良い。さらに、不活性パージガスのパルス出力は、図示された又は複数のパージガスのパルス出力のすべての範囲内で、同じ又は共通の不活性パージガスの組成のものであっても良く、又はある程度異なる組成のものであっても良い。また、図4は、第1前駆体の供給と第2前駆体の供給の間に総時間Cがある、単なる一つの好適実施例を表わしている。総時間Cは、このような総時間の間に、複数の不活性パージガスのパルス出力INを除いて反応室へのガスの供給がないことによって特徴付けられる。さらに検討すると、単なる一例としては、示された典型的な図4の処理は、図示された2つの直接隣接する不活性パージガスのパルス出力INの間の総時間Dを図示している。この総時間Dは、この総時間Dの間に反応室へのガスの供給がないことによって特徴付けられる。
単なる一例として、図5は、例えば最初に説明した実施例に従って、堆積反応室内の基板への反応中間ガスの流れRIによって特徴付けられる又は反応中間ガスの流れRIを含む2つの隣接する不活性パージガスのパルス出力INの間の総時間D’を有する、他の典型的な処理を示している。すなわち、単なる一例として、図5は最初に説明した実施例に従って、第1前駆体の流れと第2前駆体の流れの間の、堆積反応室内の基板への時間的に間隔を開けられた複数の不活性パージガスの流れを示している。勿論、IN及びRIのパルス出力は、第1前駆体の流れと第2前駆体の流れの間において、又は第1前駆体の流れP1と第2前駆体の流れP2の間のそれぞれに追加される、他の不活性ガスのパルス出力、反応中間ガスのパルス出力、又は他のガスのパルス出力の間において、どのような順番であっても良い。さらに、図1−5に示される処理の前か後の何れかに、基板に対してどのような処理が行なわれても良く、また、原子層堆積により基板上へ所望な厚さの堆積層が得られるように、図示された処理が、当業者の選択により典型的には繰り返されることが好ましい。
本発明は、これに限定されるものではないが、高アスペクト比の開口内に形成される半球状粒子ポリシリコン容器コンデンサの形成に特に適用性を有するものである。
法令にしたがって、本発明は構造的及び方法的な特徴についておおよそ具体的に言葉で説明された。しかしながら、ここで開示されたものは発明が効果的に発揮されるような好ましい状態のものであるため、本発明は示され説明された特定の特徴部分に限定されるものではないことが理解されるべきである。したがって、本発明は均等論に従い適当に解釈される添付の特許請求の範囲の文字通りの意味の範囲内で、あらゆる形態又は修正に対して請求されるものである。
図1は、本発明の一態様によるある原子層堆積処理の時間に対する流れの概略図である。 図2は、本発明の一態様によるある原子層堆積処理の時間に対する流れの概略図である。 図3は、本発明の一態様によるある原子層堆積処理の時間に対する流れの概略図である。 図4は、本発明の一態様によるある原子層堆積処理の時間に対する流れの概略図である。 図5は、本発明の一態様によるある原子層堆積処理の時間に対する流れの概略図である。

Claims (37)

  1. 原子層堆積方法であって、
    堆積反応室内に半導体基板を供給する過程と、
    前記基板上に第1単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第1前駆ガスを流す過程と、
    前記第1単分子層を形成した後に、前記堆積反応室内の前記基板に反応中間ガスを流す過程であって、該反応中間ガスが、該反応中間ガスを流している状態の下で、前記第1前駆ガスを流すことから生ずる中間反応副生成物と反応可能である、反応中間ガスを流す過程と、
    前記反応中間ガスを流した後に、前記第1単分子層上に第2単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第2前駆ガスを流す過程と、
    を具備することを特徴とする原子層堆積方法。
  2. 前記第1前駆ガスを流している間に前記中間反応副生成物を形成する過程と、前記反応中間ガスを流している間に前記反応中間ガスを前記中間反応副生成物と反応させる過程と、を具備することを特徴とする請求項1に記載の原子層堆積方法。
  3. 前記第2前駆ガスは、前記第1前駆ガスと組成が異なることを特徴とする請求項1に記載の原子層堆積方法。
  4. 前記第1前駆ガスを流す過程と前記反応中間ガスを流す過程との間に、前記堆積反応室にガスが供給されない期間があることを特徴とする請求項1に記載の原子層堆積方法。
  5. 前記反応中間ガスを流す過程と前記第2前駆ガスを流す過程との間に、前記堆積反応室にガスが供給されない期間があることを特徴とする請求項1に記載の原子層堆積方法。
  6. 前記第1前駆ガスを流す過程と前記反応中間ガスを流す過程との間に、前記堆積反応室にガスが供給されない第1の期間があり、
    前記反応中間ガスを流す過程と前記第2前駆ガスを流す過程との間に、前記堆積反応室にガスが供給されない第2の期間がある、
    ことを特徴とする請求項1に記載の原子層堆積方法。
  7. 原子層堆積方法であって、
    堆積反応室内に半導体基板を供給する過程と、
    前記基板上に第1単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第1前駆ガスを流す過程と、
    前記第1単分子層を形成した後に、前記堆積反応室内の前記基板に、Clからなる反応中間ガスを流す過程であって、該反応中間ガスが、該反応中間ガスを流している状態の下で、前記第1前駆ガスを流すことから生ずる中間反応副生成物と反応可能である、反応中間ガスを流す過程と、
    前記反応中間ガスを流した後に、前記第1単分子層上に第2単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第2前駆ガスを流す過程と、
    を具備することを特徴とする原子層堆積方法。
  8. 前記反応中間ガスがHからなることを特徴とする請求項1に記載の原子層堆積方法。
  9. 前記反応中間ガスが前記状態の下において、前記第1前駆ガスと反応しないことを特徴とする請求項1に記載の原子層堆積方法。
  10. 前記反応中間ガスが前記状態の下において、前記第2前駆ガスと反応しないことを特徴とする請求項1に記載の原子層堆積方法。
  11. 前記第1前駆ガスがTiClからなり、前記第2前駆ガスがNHからなることを特徴とする請求項1に記載の原子層堆積方法。
  12. 前記第1前駆ガスがNHからなり、前記第2前駆ガスがTiClからなることを特徴とする請求項1に記載の原子層堆積方法。
  13. 前記第1前駆ガスを流す過程と前記第2前駆ガスを流す過程との間に、前記堆積反応室内の前記基板に、時間的に間隔を開けられた複数の不活性パージガスパルスを流す過程を有することを特徴とする請求項1に記載の原子層堆積方法。
  14. 前記第1前駆ガスを流す過程と、前記反応中間ガスを流す過程と、前記第2前駆ガスを流す過程とは、それら全体として、前記堆積反応室内の前記基板へ時間的に間隔を開けられた複数のガスパルスを流すことからなることを特徴とする請求項1に記載の原子層堆積方法。
  15. 前記第1前駆ガスを流す過程と前記第2前駆ガスを流す過程との間の総期間を有し、該総期間は、該総期間中に、前記反応中間ガスを流すことを除いて前記堆積反応室へガスが供給されないことにより特徴付けられることを特徴とする請求項14に記載の原子層堆積方法。
  16. 前記反応中間ガスを流す過程は、前記堆積反応室内のプラズマ発生によりプラズマ増強
    されることを特徴とする請求項1に記載の原子層堆積方法。
  17. 前記反応中間ガスを流す過程は、前記堆積反応室とは離れたプラズマ発生によりプラズマ増強されることを特徴とする請求項1に記載の原子層堆積方法。
  18. 前記第2前駆ガスは第1前駆ガスとは組成が異なることを特徴とする請求項1に記載の原子層堆積方法。
  19. 前記反応中間ガスは、前記状態の下において、前記第1前駆ガスと反応できないことを特徴とする請求項18に記載の原子層堆積方法。
  20. 前記反応中間ガスは、前記状態の下において、前記第2前駆ガスと反応できないことを特徴とする請求項18に記載の原子層堆積方法。
  21. 前記第1前駆ガスを流す過程の間に前記中間反応副生成物を形成する過程と、前記反応中間ガスを流す過程の間に前記反応中間ガスを前記中間反応副生成物と反応させる過程とを具備することを特徴とする請求項18に記載の原子層堆積方法。
  22. 前記反応中間ガスを流した後であって前記第2前駆ガスを流す前に、前記堆積反応室内の前記基板に不活性パージガスを流す過程と、
    前記不活性パージガスを流した後に、前記第2前駆ガスを前記基板に流す過程とを具備することを特徴とする請求項1に記載の原子層堆積方法。
  23. 前記第1単分子層を形成した後であって前記反応中間ガスを流す前に、前記堆積反応室内の前記基板に不活性パージガスを流す過程と、
    前記不活性パージガスを流した後に、前記反応中間ガスを前記基板に流す過程とを具備することを特徴とする請求項1に記載の原子層堆積方法。
  24. 前記不活性パージガスを流す過程は、他のすべてのガスの流れから時間的に間隔を開けられたパルスであり、前記第1前駆ガスを流す過程と前記第2前駆ガスを流す過程との間に複数の不活性パージガスパルスを導く過程を有することを特徴とする請求項23に記載の原子層堆積方法。
  25. 原子層堆積方法であって、
    堆積反応室内に半導体基板を供給する過程と、
    前記基板上に第1単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第1前駆ガスを流す過程と、
    前記第1単分子層を形成した後に、前記堆積反応室内の前記基板に複数の不活性パージガスパルスを流す過程であって、該複数の不活性パージガスパルスは、少なくとも2つの隣接する不活性パージガスパルスの間に、前記堆積反応室にガスを供給しない少なくともある期間を含む、複数の不活性パージガスパルスを流す過程と、
    前記複数の不活性パージガスパルスの後に、前記第1単分子層上に第2単分子層を形成するのに有効なように、第2前駆ガスを前記堆積反応室内の前記基板に流す過程と、
    を具備し、
    前記2つの隣接する不活性パージガスパルスの間の総期間は、前記堆積反応室内の前記基板に反応中間ガスを流す過程を含み、該反応中間ガスは、該反応中間ガスが流れている状態の下で、前記第1前駆ガスを流すことから生ずる中間反応副生成物と反応可能である ことを特徴とする原子層堆積方法。
  26. 前記複数とは、2つであることを特徴とする請求項25に記載の原子層堆積方法。
  27. 前記複数とは、2つよりも多いことを特徴とする請求項25に記載の原子層堆積方法。
  28. 前記複数とは、前記複数のすべてに共通の不活性パージガスの組成により特徴付けられることを特徴とする請求項25に記載の原子層堆積方法。
  29. 前記反応中間ガスは、前記反応中間ガスが流れている前記状態の下で、前記基板の露出した如何なる部分とも反応できず、かつ、反応しないことを特徴とする請求項25に記載の原子層堆積方法。
  30. 前記第1前駆ガスを流している間に前記中間反応副生成物を形成する過程と、前記反応中間ガスを流している間に前記反応中間ガスを前記中間反応副生成物と反応させる過程とを具備することを特徴とする請求項25に記載の原子層堆積方法。
  31. 前記第1前駆ガスを流している間に前記中間反応副生成物を形成する過程と、前記反応中間ガスを流している間に前記反応中間ガスを前記中間反応副生成物と反応させる過程とを具備し、
    前記反応中間ガスは、前記反応中間ガスが流れている状態の下で、前記基板の露出した如何なる部分とも反応できず、かつ、反応しないことを特徴とする請求項25に記載の原子層堆積方法。
  32. 前記第2前駆ガスは、前記第1前駆ガスと組成が異なることを特徴とする請求項25に記載の原子層堆積方法。
  33. 原子層堆積方法であって、
    堆積反応室内に半導体基板を供給する過程と、
    前記基板上に第1単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第1前駆ガスを流す過程と、
    前記第1単分子層を形成した後に、前記堆積反応室内の前記基板に反応中間ガスを流す過程であって、該反応中間ガスが、該反応中間ガスを流している状態の下で、前記第1前駆ガスを流すことから生ずる中間反応副生成物と反応可能であり、かつ、前記反応中間ガスを流している間に前記第1単分子層と反応しない、反応中間ガスを流す過程と、
    前記反応中間ガスを流した後に、前記堆積反応室内の前記基板に不活性パージガスを流す過程と、
    前記不活性パージガスを流した後に、前記第1単分子層上に第2単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第2前駆ガスを流す過程と、
    を具備することを特徴とする原子層堆積方法。
  34. 前記反応中間ガスを流す過程はプラズマ増強されることを特徴とする請求項33に記載の原子層堆積方法。
  35. 原子層堆積方法であって、
    堆積反応室内に半導体基板を供給する過程と、
    前記基板上に第1単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第1前駆ガスを流す過程と、
    前記第1単分子層を形成した後に、前記堆積反応室内の前記基板に不活性パージガスを流す過程と、
    前記不活性パージガスを流した後に、前記堆積反応室内の前記基板に反応中間ガスを流す過程であって、該反応中間ガスが、該反応中間ガスを流している状態の下で、前記第1前駆ガスを流すことから生ずる中間反応副生成物と反応可能であり、かつ、前記反応中間
    ガスを流している間に前記第1単分子層と反応しない、反応中間ガスを流す過程と、
    前記反応中間ガスを流した後に、前記第1単分子層上に第2単分子層を形成するのに有効なように、前記堆積反応室内の前記基板に第2前駆ガスを流す過程と、
    を具備することを特徴とする原子層堆積方法。
  36. 前記反応中間ガスを流す過程はプラズマ増強されることを特徴とする請求項35に記載の原子層堆積方法。
  37. 前記反応中間ガスを流す過程はプラズマ増強され、前記反応中間ガスは、前記反応中間ガスを流している間に前記第1単分子層と反応しないことを特徴とする請求項1に記載の原子層堆積方法。
JP2004524677A 2002-07-29 2003-07-21 原子層堆積方法 Expired - Lifetime JP4232105B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/208,314 US7150789B2 (en) 2002-07-29 2002-07-29 Atomic layer deposition methods
PCT/US2003/022804 WO2004011693A1 (en) 2002-07-29 2003-07-21 Atomic deposition layer methods

Publications (2)

Publication Number Publication Date
JP2005533183A JP2005533183A (ja) 2005-11-04
JP4232105B2 true JP4232105B2 (ja) 2009-03-04

Family

ID=30770547

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004524677A Expired - Lifetime JP4232105B2 (ja) 2002-07-29 2003-07-21 原子層堆積方法

Country Status (9)

Country Link
US (2) US7150789B2 (ja)
EP (2) EP1532292B1 (ja)
JP (1) JP4232105B2 (ja)
KR (1) KR100719643B1 (ja)
CN (1) CN100485084C (ja)
AT (1) ATE365817T1 (ja)
AU (1) AU2003261211A1 (ja)
DE (1) DE60314640T2 (ja)
WO (1) WO2004011693A1 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6673701B1 (en) 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US20050063932A1 (en) * 2003-08-14 2005-03-24 Natalie Dilallo Skin care compositions including hexapeptide complexes and methods of their manufacture
KR100587687B1 (ko) * 2004-07-27 2006-06-08 삼성전자주식회사 원자층 증착법을 이용한 박막 형성 방법과 그 장치
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
JP4355672B2 (ja) * 2005-03-15 2009-11-04 三井造船株式会社 薄膜形成方法
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
WO2007048672A1 (de) * 2005-10-25 2007-05-03 Evonik Degussa Gmbh Präparate umfassend hyperverzweigte polymere
US20080119098A1 (en) * 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
EP1982698A1 (de) * 2007-04-18 2008-10-22 Evonik Degussa GmbH Präparate zur gesteuerten Freisetzung von bioaktiven Naturstoffen
CN100590803C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
DE102008042923A1 (de) 2008-10-17 2010-04-22 Evonik Goldschmidt Gmbh Präparate zur gesteuerten Freisetzung von Wirkstoffen
US8518486B2 (en) 2010-05-12 2013-08-27 Micron Technology, Inc. Methods of forming and utilizing rutile-type titanium oxide
KR101538372B1 (ko) * 2012-12-13 2015-07-22 엘아이지인베니아 주식회사 원자층 증착장치
EP2770526B1 (en) 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
KR102454894B1 (ko) 2015-11-06 2022-10-14 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
US20170241019A1 (en) 2016-02-22 2017-08-24 Ultratech, Inc. Pe-ald methods with reduced quartz-based contamination
US20170260629A1 (en) 2016-03-08 2017-09-14 Ultratech, Inc. Quartz crystal microbalance assembly for ALD systems
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
CN106048561B (zh) * 2016-08-17 2019-02-12 武汉华星光电技术有限公司 一种原子层沉积装置及方法
SG11201906817TA (en) 2017-01-27 2019-08-27 Ultratech Inc Chuck systems and methods having enhanced electrical isolation for substrate-biased ald

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6482476B1 (en) * 1997-10-06 2002-11-19 Shengzhong Frank Liu Low temperature plasma enhanced CVD ceramic coating process for metal, alloy and ceramic materials
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
DE60013838T2 (de) * 1999-07-13 2005-02-10 Aixtron Ag Abdichtungsmittel und dessen verwendung in abscheidungsreaktor
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR20010114050A (ko) * 2000-06-20 2001-12-29 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR20020001376A (ko) * 2000-06-28 2002-01-09 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6696368B2 (en) * 2001-07-31 2004-02-24 Micron Technology, Inc. Titanium boronitride layer for high aspect ratio semiconductor devices
KR100440064B1 (ko) * 2001-08-28 2004-07-15 주식회사 무한 원자층 형성 공정 반응기의 인슈투 클리닝방법
US6746952B2 (en) * 2001-08-29 2004-06-08 Micron Technology, Inc. Diffusion barrier layer for semiconductor wafer fabrication
US6870225B2 (en) * 2001-11-02 2005-03-22 International Business Machines Corporation Transistor structure with thick recessed source/drain structures and fabrication process of same
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6620253B1 (en) * 2002-04-11 2003-09-16 Micron Technology, Inc. Engagement mechanism for semiconductor substrate deposition process kit hardware
US6613587B1 (en) * 2002-04-11 2003-09-02 Micron Technology, Inc. Method of replacing at least a portion of a semiconductor substrate deposition chamber liner
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
EP1398831A3 (en) * 2002-09-13 2008-02-20 Shipley Co. L.L.C. Air gaps formation

Also Published As

Publication number Publication date
EP1532292B1 (en) 2007-06-27
EP1840241A2 (en) 2007-10-03
US20050039674A1 (en) 2005-02-24
KR100719643B1 (ko) 2007-05-17
DE60314640D1 (de) 2007-08-09
US20040016394A1 (en) 2004-01-29
EP1532292A1 (en) 2005-05-25
KR20050028022A (ko) 2005-03-21
EP1840241A3 (en) 2008-11-12
AU2003261211A1 (en) 2004-02-16
US7128787B2 (en) 2006-10-31
JP2005533183A (ja) 2005-11-04
CN1671882A (zh) 2005-09-21
WO2004011693A1 (en) 2004-02-05
US7150789B2 (en) 2006-12-19
DE60314640T2 (de) 2008-03-06
CN100485084C (zh) 2009-05-06
EP1840241B1 (en) 2012-06-13
ATE365817T1 (de) 2007-07-15

Similar Documents

Publication Publication Date Title
JP4232105B2 (ja) 原子層堆積方法
US6890596B2 (en) Deposition methods
US6673701B1 (en) Atomic layer deposition methods
EP1238421B1 (en) Apparatus and method for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7378354B2 (en) Atomic layer deposition methods
US6540838B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2004356612A (ja) 原子層堆積法および化学気相成長法の均一性および再現性を向上するパッシベーション方法
JP4348445B2 (ja) 二酸化ケイ素含有層を形成する原子層堆積方法
US8163648B2 (en) Atomic layer deposition methods
KR100422396B1 (ko) 원자층 증착법을 이용한 반도체 소자의 박막 형성 방법
KR20020001387A (ko) 반도체 소자의 티타늄 나이트라이드막 형성 방법

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070814

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070814

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071004

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071012

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071227

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20071227

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080603

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080818

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080818

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081126

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4232105

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121219

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121219

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131219

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term