JP4218972B2 - 集積回路の位相シフトおよびトリム・マスクを決定する方法および装置 - Google Patents

集積回路の位相シフトおよびトリム・マスクを決定する方法および装置 Download PDF

Info

Publication number
JP4218972B2
JP4218972B2 JP2006071816A JP2006071816A JP4218972B2 JP 4218972 B2 JP4218972 B2 JP 4218972B2 JP 2006071816 A JP2006071816 A JP 2006071816A JP 2006071816 A JP2006071816 A JP 2006071816A JP 4218972 B2 JP4218972 B2 JP 4218972B2
Authority
JP
Japan
Prior art keywords
mask
phase shift
integrated circuit
region
degree phase
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006071816A
Other languages
English (en)
Other versions
JP2006178498A (ja
JP2006178498A5 (ja
Inventor
コブ ニコラス・ベイレイ
キョウヘイ サカジリ
Original Assignee
メンター・グラフィクス・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23612127&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP4218972(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by メンター・グラフィクス・コーポレーション filed Critical メンター・グラフィクス・コーポレーション
Publication of JP2006178498A publication Critical patent/JP2006178498A/ja
Publication of JP2006178498A5 publication Critical patent/JP2006178498A5/ja
Application granted granted Critical
Publication of JP4218972B2 publication Critical patent/JP4218972B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2026Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Networks Using Active Elements (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

(発明の分野)
本発明は集積回路処理に関する。詳細には、本発明は、ディープ・サブミクロ
ン(<0.25μm)集積回路処理のための光学修正に関する。
(発明の背景)
集積回路(IC)が高密度になると、線および構成要素の幅ならびに線間の隔
離距離はますます小さくなる。現在は、ディープ・サブミクロン(<0.25μ
m)処理が行われている。ただし、ディープ・サブミクロン処理では、レチクル
/マスクのパターンの忠実度や光近接効果、レジストおよびエッチング処理中の
拡散およびローディングといったいくつかの要因により、シリコンの歩留りが影
響を受ける。代表的な問題としては、局所的なパターン密度およびトポロジなら
びに線端引き戻しによる線幅の変動がある。
図1aは、ディープ・サブミクロンの設計レイアウト例である。図1aは、所
期のレイアウトを表現しているが、ディープ・サブミクロン処理の物理的特性に
より、その結果として生じる回路はこの設計レイアウトとは異なる。図1bに、
図1aの設計に基づく構造の修正前の状態を示す。
図1bの構造では、トポロジおよび密度によって線幅が異なり、これが動作の
速度および確度に悪影響を及ぼす恐れがある。また、線の縁部も短くかつ丸めら
れており、これにより接続が断たれたり回路が故障したりする恐れがある。Wa
ng他に発行された米国特許第5858580号(「580号特許」)には、ゲ
ート幅を、元のサイズからサブミクロン・レベルにすることができる縮小サイズ
まで縮小する方法および装置が開示されている。
’580号特許では、第1の実現可能な最小寸法を持つ第1の製造処理から第
2の実現可能な最小寸法を持つ第2の製造処理までの間でゲート・サイズを縮小
する。第2の実現可能な最小寸法は第1の実現可能な最小寸法より小さい。しか
し、’580号特許では、第1の処理について集積回路のレイアウトを作成し、
その後、これを第2の処理で使用するために縮小する必要がある。元の回路レイ
アウトに手を加えることができるように改善したディープ・サブミクロン処理が
必要とされている。
(発明の要旨)
集積回路を製造するための位相シフトマスクおよびトリム・マスクを生成する
方法および装置について述べる。集積回路の第1の層に第1の領域を区画する第
1のマスクを生成する。第1の領域は、少なくとも部分的には、集積回路の第2
の層中の領域に基づいている。集積回路の第1の層に第2の領域を区画する第2
のマスクを生成する。第2の領域も、少なくとも部分的には、集積回路の第2の
層中の領域に基づいている。第2のマスクは、第1のマスクによって発生したア
ーチファクトも除去する。
同じ要素を同じ参照番号で示す添付の図面の各図に、限定ではなく例示を目的
として本発明を図示する。
(詳細な説明)
集積回路を製造するための位相シフトマスクおよびトリム・マスクを生成する
方法および装置について述べる。以下の記述には、説明を目的として、本発明が
完全に理解されるように多数の特定の詳細が記載してある。ただし、こうした特
定の詳細を用いずに本発明を実施することができることは、当業者には明らかで
あろう。その他、本発明が曖昧にならないように、構造および装置はブロック図
の形態で示した。
本明細書における「一実施形態」または「ある実施形態」という記述は、その
実施形態と関連づけて説明される特定の特徴、構造、または特性が、本発明の少
なくとも1つの実施形態に含まれる、ということを意味する。また、本明細書中
の様々な箇所に「一実施形態では」という文句が出てくるが、これらは全てが必
ずしも同一の実施形態を指しているわけではない。
ディープ・サブミクロン・レイアウトを最適化する方法および装置について述
べる。集積回路(IC)設計の構成要素(例えばゲート)を位相シフト処理を使
用して識別および製造し、位相シフト処理を使用せずに製造した回路に比べて回
路の密度および/または性能を改善することができる。一実施形態では、位相シ
フト処理を使用して製造される構成要素を含む第1のマスク(例えば位相シフト
マスク)を生成する。また、第1のマスクを使用して作製した構造をさらに処理
するための第2のマスク(例えばトリム・マスク)も生成する。これらのマスク
はともに、集積回路レイアウトの、位相シフト処理で作製している構造(例えば
ゲート)とは異なる層中の領域(例えば拡散領域)に基づいて決められる。
図2は、集積回路処理装備の一実施形態を示している。図2の装備は本発明で
使用するのに適しており、以下で述べるように、これを使用して構成要素のサイ
ズを縮小することができる。図2の各構成要素の一般的な用途は当技術分野では
既知である。以下では、修正形態についてより詳細に述べる。例えば、レチクル
および/またはマスクの特定の構成および修正形態を図2の残りの構成要素とと
もに使用することができる。
光源200は、ウェハ230に向かう光を供給する。マスク/レチクル210
は、ウェハ230の所定部分に対して光を遮断する。ステッパ・スキャナ220
は、ウェハ230上で現像中の複数の集積回路の1つにマスク/レチクル210
のパターンを向ける。
図3は、拡散領域の上に2つのゲートを有する設計レイアウトを示している。
本明細書では、図3のレイアウトを使用して本発明を説明する。ただし、本発明
は、図3の設計に限定されることなく使用することができる。
例えば、トランジスタのコレクタおよびエミッタを形成するために、拡散領域
310を使用する。拡散領域310は、当技術分野で既知の任意の方法で形成す
ることができる。例えば、イオン注入によって拡散領域310を形成することが
できる。
金属(またはポリシリコン)領域300は、各構成要素間の電気的接続をもた
らす。例えば、金属領域300は、拡散領域310を横切る2つのゲート、およ
びこれら2つのゲートの別のデバイス(図3には図示せず)へ接続部する。金属
領域300は、例えばアルミニウムや銅などで構成することができる。
図4は、本発明の一実施形態による、位相シフトマスクおよびトリム・マスク
の生成に使用される線分指示を備えた図3の設計レイアウトを示している。一実
施形態では、線分を使用して位相シフトマスクの領域を区画する。代替実施形態
では、線分からのずれを使用して位相シフトマスクを区画する。
線分405、445は、拡散領域310の両端の外側にある。線分400、4
30は、金属領域300の各ゲートの中心に対応する。線分415、410、4
35、440は、各末端線分(すなわち405、445)とゲート線分(すなわ
ち400、430)とを接続している。線分420、425は、ゲート線分どう
しを接続している。代替実施形態では、ゲート線分は、金属領域300の各ゲー
トの中心にはない。
一実施形態では、図4の線分は、拡散領域310の境界から所定量だけずれて
いる。一実施形態では、このずれは、0.25λ/NAより大きい。ただし、λ
は使用する光の波長、NAは使用する開口数である。したがって、ずれはゲート
の幅より大きい。以下でより詳細に述べるように、図4の線分は、拡散領域31
0の上に製造された位相シフト領域の寸法を示す。拡散領域310に基づいて位
相シフト領域を形成することにより、位相シフト技術を使用して生成されるゲー
トその他の領域に基づいて形成する場合より容易に位相シフトマスクを形成する
ことができる。
図5は、本発明の一実施形態による、図3のレイアウトの場合の位相シフトマ
スクを示している。図5に関連して述べる実施形態では、図4に関連して述べた
線分を使用して位相シフトマスクを形成し、本発明によるゲートを生成する。
線分400、405、410、415は、位相シフトマスクの第1の露光領域
を区画する。線分430、435、440、445は、位相シフトマスクの第2
の露光領域を区画する。代替実施形態では、図5の線分からのずれを使用して第
1および第2の露光領域を区画する。
線分400、405、410、415、ならびに線分430、435、440
、445は、位相シフトマスク内の位相シフト領域を決める。一実施形態では、
これらの線分が光を180度位相シフトさせる領域を区画し、隣接する領域は光
をシフトさせない。別法として、これらの線分の外部領域を180度位相シフト
領域とし、内部領域をゼロ度位相シフト領域とすることもできる。
その他の位相シフト領域および非位相シフト領域を、位相シフトマスクに含め
ることもできる。代替実施形態では、ゼロ度および180度以外の位相シフトを
使用することもできる。位相シフトマスクを使用して、位相シフト技術を使用し
て作製されるゲートその他の領域以外に、この金属層に付加的な構造を設けるこ
ともできる。
図6は、本発明の一実施形態による、図3のレイアウトの場合のトリム・マス
クを示している。このトリミング領域は、位相シフトマスクによって発生した、
製造するトランジスタのゲートの一部ではないアーチファクトを除去する。
位相シフトマスクの位相シフト領域に対応するトリミング領域は、線分500
、505、510、515ならびに線分530、535、540、545によっ
て形成される。一実施形態では、トリミング領域を区画する線分は、位相シフト
領域を区画する対応する線分から所定量だけずれている。その他の構造領域(図
6には図示せず)をトリミング・マスクに含めることもできる。
図7は、図3のレイアウトに基づく、結果として生じる回路を示している。拡
散領域310は、図3のレイアウトと同じサイズである。一実施形態では、拡散
領域310の上の2つのトランジスタ・ゲートの寸法が、図3の元の回路レイア
ウトに比べて小さくなっている。一実施形態では、ゲート間の接続部の寸法が小
さくなっている。したがって、金属またはポリシリコンの領域700の一部また
は全体の寸法が、図3の回路レイアウトに比べて小さくなっている。
図8は、本発明の一実施形態による、位相シフトマスクおよびトリム・マスク
を生成する流れ図である。ステップ810で、設計レイアウトを生成し、かつ/
またはこれにアクセスする。例えば、設計レイアウトは、製造しようとする回路
のGDS−II記述にすることができる。その他のレイアウト・フォーマットもサ
ポートすることができる。
ステップ810で、設計レイアウトにアクセスする。一実施形態では、設計レ
イアウトは、GDS−IIフォーマットの回路記述であるが、その他のフォーマッ
トを使用することもできる。設計レイアウトは、その設計レイアウトが記述する
回路を実現するようにマスクおよび/またはレチクルを設計する際の元になる回
路設計を記述したものである。
ステップ820で、設計レイアウトに基づいて、位相割当てを行う。一実施形
態では、位相割当ては、使用する集積回路製造処理で実現可能な最小寸法より小
さな寸法を有するゲート構造を作製するために行う。
上述のように、位相割当ては、位相シフト処理を適用する層以外の回路層中の
回路構造に基づいて行う。例えば、位相シフトを使用してゲート構造を作製する
場合には、ゲート構造に対する位相割当ては、ゲート構造をその上に製造する拡
散領域に基づいて行う。位相シフトマスクは位相割当てに基づいて生成する。
ステップ830で、トリム・マスクを生成する。トリム・マスクは、位相シフ
トマスクによって生じたアーチファクトを除去する働きをする。一実施形態では
、トリム・マスクは、位相シフトマスクと同じ回路構造に基づいている。トリム
・マスクは、本明細書に記載の2マスク位相シフト処理を使用して作製される構
造以外の構造を形成することもできる。例えば、トリム・マスクは、位相シフト
マスクおよびトリム・マスクを使用して作製されるゲート領域の間に、金属その
他の接続構造を形成することができる。
ステップ840で、設計検証を実行する。一実施形態では、設計検証は、位相
割当てを行った後で実行する。通常、設計検証は、設計規則検査および/または
電気的連続性検査を含み、レイアウト対スキーマチック(LVS)検査(layout
versus schematic checking)と呼ばれる。一実施形態では、位相シフトマスクの
レイアウトによって生成された物理ゲート幅では従来の設計検証が失敗する恐れ
があるので、人工ゲート幅を設計検証に使用する。必要なら、設計検証に基づい
て設計に修正を加え、従来のLVS検査を実行することができる元のレイアウト
・トポロジと一致するようにする。ステップ850で、集積回路を製作するため
に使用する複数のマスクを製作する。
図9は、本発明の一実施形態による、位相シフトマスクおよびトリム・マスク
を生成する流れ図である。図9の実施形態では、位相割当ての前に設計検証を実
行する。この実施形態では、縮小したゲート幅が設計検証の失敗を引き起こすこ
とはない。
ステップ910で、設計レイアウトにアクセスする。上述のように、このレイ
アウトは、GDS−IIフォーマットにすることも、その他任意の適当なフォーマ
ットにすることもできる。ステップ920で、設計レイアウトを使用して設計検
証を行う。元の設計レイアウトについて設計検証を実行するので、上述のデュア
ル・マスク位相シフト作製によって生じる縮小寸法により設計検証が失敗するこ
とはない。
ステップ930で、位相割当てを行う。一実施形態では、位相割当ては、位相
シフトマスクを適用する層とは異なる回路層中の回路構造に基づいて実行する。
ステップ940で、上述の位相割当てに対応する回路層についてトリム・マスク
を生成する。ステップ950で、適切なマスクを作製する。
図10は、一実施形態による、本発明のシミュレーション・ツールと一体化さ
れたEDAツールを示す図である。図示のように、EDAツール・スーツ100
0は、前述のように本発明の教示に組み込まれるシミュレーション・ツール10
02を含む。さらに、EDAツール・スーツ1000は、その他のツール・モジ
ュール1004を含む。これらのその他のツール・モジュール1002の例とし
ては、合成モジュールやレイアウト検証モジュールなどがあるが、これらに限定
されない。
図11は、本発明の実施に使用するのに適したコンピュータ・システムの一実
施形態を示す図である。図示のように、コンピュータ・システム1100は、シ
ステム・バス1106を介して互いに結合されたプロセッサ1102およびメモ
リ1104を含む。システム・バス1106には、ハード・ディスクやフロッピ
ィ・ディスクなどの不揮発性大容量記憶装置1108、キーボードやディスプレ
イなどの入出力デバイス1110、およびLANインタフェースなどの通信イン
タフェース1112も結合される。これらの要素はそれぞれ、当技術分野で既知
の従来通りの機能を実行する。
特に、システム・メモリ1104および不揮発性大容量記憶装置1108は、
上述した本発明の教示を実施するプログラム命令の作業コピーおよび永久コピー
を格納するために利用される。システム・メモリ1104および不揮発性大容量
記憶装置1106は、IC設計を格納するために利用することもできる。本発明
を実施するためのプログラム命令の永久コピーは、配布元/媒体1114および
任意選択で通信インタフェース1112を使用して、工場でも現場でも不揮発性
大容量記憶装置にロードすることができる。配布媒体1114の例としては、テ
ープやCD−ROM、DVDなどの記録可能媒体がある。一実施形態では、プロ
グラム命令は、図10のEDAツール1000を実装するプログラム命令の集合
の一部である。要素1102から1114の構成は周知であるので、これ以上の
説明はしない。
前述の明細書では、特定の実施形態を参照して本発明について述べた。しかし
、本発明のより広範な主旨および範囲を逸脱することなく、本発明に様々な修正
および変更を加えることができることは明らかであろう。したがって、本明細書
および図面は、限定的な意味ではなく、例示的なものとして解釈すべきものであ
る。
図1aは、ディープ・サブミクロン設計レイアウト例を示す図である。 図1bは、図1aの設計に基づく構造の修正前の状態を示す図である。 図2は、集積回路処理装備の一実施形態を示す図である。 図3は、拡散領域の上に2つのゲートを有する設計レイアウトを示す図である。 図4は、本発明の一実施形態による、位相シフトマスクおよびトリム・マスクの生成に使用される線分指示を備えた図3の設計レイアウトを示している。 図5は、本発明の一実施形態による、図3のレイアウトの場合の位相シフトマスクを示す図である。 図6は、本発明の一実施形態による、図3のレイアウトの場合のトリム・マスクを示す図である。 図7は、図3のレイアウトに基づく、結果として生じる回路を示す図である。 図8は、本発明の一実施形態による位相シフトマスクおよびトリム・マスクを生成する流れ図である。 図9は、本発明の一実施形態による位相シフトマスクおよびトリム・マスクを生成する流れ図である。 図10は、一実施形態による、本発明のシミュレーション・ツールと一体化されたEDAツールを示す図である。 図11は、本発明の実施に使用するのに適したコンピュータ・システムの一実施形態を示す図である。

Claims (14)

  1. 集積回路を製造するための1組のマスクを生成する方法であって、該方法は、
    直接的に隣接する複数のマスク領域を規定する第1のマスク生成することであって、該複数のマスク領域は、180度位相シフト領域を含み、該180度位相シフト領域は、180度位相シフト領域を直接的に囲む領域対して光を180度シフトさせ該第1のマスク、該集積回路の第1の層の中に該集積回路の第2の層に配置された拡散領域オーバーレイする所望の金属またはポリシリコン構造を作成する際に使用され、該180度位相シフト領域、該拡散領域形成されるべき該金属またはポリシリコン構造の該集積回路における必要な位置に対応するように位置づけられるように配置された1つのエッジ有しており、該180度位相シフト領域他の全てのエッジ、該集積回路の該第2の層に配置された下部の拡散領域複数のエッジ所定の量だけ外側に超えて置かれるように配置されている、ことと、
    該第1のマスクよって形成された該180度位相シフト領域対応する複数のエッジら所定の量だけ外側にずらされた線分よって部分的に規定されるトリミング領域を形成する第2のトリムマスク生成することであって、該第2のトリムマスク、第1の露光ステップにおいて該第1のマスク用いて該集積回路内に生成された望ましくないアーチファクトであって、該所望の金属またはポリシリコン構造の一部ではないアーチファクトを除去する際に第2の露光ステップにおいて使用される、ことと
    を包含する、方法。
  2. 前記トリムマスク、前記集積回路の前記第1の層に追加の構造的な要素をさらに規定する、請求項1に記載の方法。
  3. 前記トリムマスク、位相シフト要素を含まない、請求項1に記載の方法。
  4. 前記第1のマスクよび前記トリムマスクよって作成された前記構造は、トランジスタゲートである、請求項1に記載の方法。
  5. 前記トリムマスク、元の集積回路レイアウトのトポロジを保持する、請求項1に記載の方法。
  6. 前記180度位相シフト領域、前記拡散領域の複数のエッジら外側に0.25λ/NAより多くの量だけずらされた複数のエッジを有しており、λは前記マスクを露光するために用いられる光の波長であり、NAは露光に用いられる開口数である、請求項1に記載の方法。
  7. 命令のシーケンスが格納された機械読み取り可能な媒体を備えた物品であって、1以上のプロセッサによって該命令のシーケンスが実行された場合に、電子デバイスに、
    直接的に隣接する複数のマスク領域を規定する第1のマスク生成することであって、該複数のマスク領域は、180度位相シフト領域を含み、該180度位相シフト領域は、180度位相シフト領域を直接的に囲む領域対して光を180度シフトさせ該第1のマスク、該集積回路の第1の層の中に該集積回路の第2の層に配置された拡散領域オーバーレイする所望の金属またはポリシリコン構造を作成する際に使用され、該180度位相シフト領域、該拡散領域形成されるべき該金属またはポリシリコン構造の該集積回路における必要な位置に対応するように位置づけられるように配置された1つのエッジ有しており、該180度位相シフト領域他の全てのエッジ、該集積回路の該第2の層に配置された下部の拡散領域複数のエッジ所定の量だけ外側に超えて置かれるように配置されている、ことと、
    該第1のマスクよって形成された該180度位相シフト領域対応する複数のエッジら所定の量だけ外側にずらされた線分よって部分的に規定されるトリミング領域を形成する第2のトリムマスク生成することであって、該第2のトリムマスク、第1の露光ステップにおいて該第1のマスク用いて該集積回路内に生成された望ましくないアーチファクトであって、該所望の金属またはポリシリコン構造の一部ではないアーチファクトを除去する際に第2の露光ステップにおいて使用される、ことと
    を行わせる、物品。
  8. 前記トリムマスクを生成する命令のシーケンスは、前記集積回路の前記第1の層に追加の構造的な要素を規定する命令のシーケンスをさらに含む、請求項7に記載の物品。
  9. 前記180度位相シフト領域、前記拡散領域の複数のエッジら外側に0.25λ/NAより多くの量だけずらされた複数のエッジを有しており、λは前記第1のマスクを露光するために用いられる光の波長であり、NAは露光に用いられる開口数である、請求項7に記載の物品。
  10. 前記トリムマスク、位相シフト要素を含まない、請求項7に記載の物品。
  11. 前記第1のマスクよび前記トリムマスクよって作成された前記構造は、トランジスタゲートである、請求項7に記載の物品。
  12. 前記トリムマスク、元の集積回路レイアウトのトポロジを保持する、請求項7に記載の物品。
  13. 前記ポリシリコン構造は、トランジスタゲートである、請求項1に記載の方法。
  14. 前記ポリシリコン構造は、トランジスタゲートである、請求項7に記載の物品。
JP2006071816A 1999-09-28 2006-03-15 集積回路の位相シフトおよびトリム・マスクを決定する方法および装置 Expired - Fee Related JP4218972B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/407,447 US6335128B1 (en) 1999-09-28 1999-09-28 Method and apparatus for determining phase shifts and trim masks for an integrated circuit

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001526664A Division JP3916462B2 (ja) 1999-09-28 2000-07-28 集積回路の位相シフトおよびトリム・マスクを決定する方法および装置

Publications (3)

Publication Number Publication Date
JP2006178498A JP2006178498A (ja) 2006-07-06
JP2006178498A5 JP2006178498A5 (ja) 2006-09-07
JP4218972B2 true JP4218972B2 (ja) 2009-02-04

Family

ID=23612127

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001526664A Expired - Fee Related JP3916462B2 (ja) 1999-09-28 2000-07-28 集積回路の位相シフトおよびトリム・マスクを決定する方法および装置
JP2006071816A Expired - Fee Related JP4218972B2 (ja) 1999-09-28 2006-03-15 集積回路の位相シフトおよびトリム・マスクを決定する方法および装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2001526664A Expired - Fee Related JP3916462B2 (ja) 1999-09-28 2000-07-28 集積回路の位相シフトおよびトリム・マスクを決定する方法および装置

Country Status (8)

Country Link
US (2) US6335128B1 (ja)
EP (1) EP1218798B1 (ja)
JP (2) JP3916462B2 (ja)
KR (1) KR100473197B1 (ja)
AT (1) ATE343157T1 (ja)
AU (1) AU6388700A (ja)
DE (1) DE60031429T2 (ja)
WO (1) WO2001023961A1 (ja)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6228539B1 (en) * 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
JP2001235850A (ja) * 2000-02-24 2001-08-31 Sony Corp フォトマスクパターンの設計方法、レジストパターンの形成方法および半導体装置の製造方法
US6777141B2 (en) 2000-07-05 2004-08-17 Numerical Technologies, Inc. Phase shift mask including sub-resolution assist features for isolated spaces
US6681379B2 (en) 2000-07-05 2004-01-20 Numerical Technologies, Inc. Phase shifting design and layout for static random access memory
US6733929B2 (en) * 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6811935B2 (en) * 2000-07-05 2004-11-02 Numerical Technologies, Inc. Phase shift mask layout process for patterns including intersecting line segments
US7028285B2 (en) * 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6524752B1 (en) * 2000-07-05 2003-02-25 Numerical Technologies, Inc. Phase shift masking for intersecting lines
US6787271B2 (en) * 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6503666B1 (en) * 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
US6541165B1 (en) * 2000-07-05 2003-04-01 Numerical Technologies, Inc. Phase shift mask sub-resolution assist features
US7083879B2 (en) 2001-06-08 2006-08-01 Synopsys, Inc. Phase conflict resolution for photolithographic masks
US6978436B2 (en) * 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6866971B2 (en) * 2000-09-26 2005-03-15 Synopsys, Inc. Full phase shifting mask in damascene process
US6901575B2 (en) 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
US6622288B1 (en) 2000-10-25 2003-09-16 Numerical Technologies, Inc. Conflict sensitive compaction for resolving phase-shift conflicts in layouts for phase-shifted features
US6584610B1 (en) 2000-10-25 2003-06-24 Numerical Technologies, Inc. Incrementally resolved phase-shift conflicts in layouts for phase-shifted features
US6635393B2 (en) 2001-03-23 2003-10-21 Numerical Technologies, Inc. Blank for alternating PSM photomask with charge dissipation layer
US6573010B2 (en) 2001-04-03 2003-06-03 Numerical Technologies, Inc. Method and apparatus for reducing incidental exposure by using a phase shifter with a variable regulator
US6553560B2 (en) * 2001-04-03 2003-04-22 Numerical Technologies, Inc. Alleviating line end shortening in transistor endcaps by extending phase shifters
US6566019B2 (en) 2001-04-03 2003-05-20 Numerical Technologies, Inc. Using double exposure effects during phase shifting to control line end shortening
US6569583B2 (en) 2001-05-04 2003-05-27 Numerical Technologies, Inc. Method and apparatus for using phase shifter cutbacks to resolve phase shifter conflicts
US6593038B2 (en) 2001-05-04 2003-07-15 Numerical Technologies, Inc. Method and apparatus for reducing color conflicts during trim generation for phase shifters
KR100498442B1 (ko) * 2001-05-23 2005-07-01 삼성전자주식회사 광 마스크 세트 및 그의 제조 방법
US6721938B2 (en) 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
US6852471B2 (en) * 2001-06-08 2005-02-08 Numerical Technologies, Inc. Exposure control for phase shifting photolithographic masks
US6523165B2 (en) 2001-07-13 2003-02-18 Numerical Technologies, Inc. Alternating phase shift mask design conflict resolution
US7178128B2 (en) * 2001-07-13 2007-02-13 Synopsys Inc. Alternating phase shift mask design conflict resolution
US6664009B2 (en) 2001-07-27 2003-12-16 Numerical Technologies, Inc. Method and apparatus for allowing phase conflicts in phase shifting mask and chromeless phase edges
US6738958B2 (en) 2001-09-10 2004-05-18 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process composite gates
US6698007B2 (en) 2001-10-09 2004-02-24 Numerical Technologies, Inc. Method and apparatus for resolving coloring conflicts between phase shifters
US6981240B2 (en) 2001-11-15 2005-12-27 Synopsys, Inc. Cutting patterns for full phase shifting masks
US6749970B2 (en) * 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US7122281B2 (en) * 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
US6605481B1 (en) 2002-03-08 2003-08-12 Numerical Technologies, Inc. Facilitating an adjustable level of phase shifting during an optical lithography process for manufacturing an integrated circuit
JP3813562B2 (ja) * 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US6704921B2 (en) 2002-04-03 2004-03-09 Numerical Technologies, Inc. Automated flow in PSM phase assignment
US6785879B2 (en) * 2002-06-11 2004-08-31 Numerical Technologies, Inc. Model-based data conversion
US6821689B2 (en) 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
KR100462887B1 (ko) * 2002-10-22 2004-12-17 삼성전자주식회사 필드 게이트 이미지의 폭을 보강하는 위상 에지 위상 변이마스크 및 제조방법
US7135255B2 (en) * 2003-03-31 2006-11-14 International Business Machines Corporation Layout impact reduction with angled phase shapes
US6993741B2 (en) * 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
US7279209B2 (en) * 2003-12-05 2007-10-09 Ricoh Electronics, Inc. Runnable splice
KR20050079730A (ko) * 2004-02-06 2005-08-11 삼성전자주식회사 이종 프로토콜 노드들을 연결하는 방법 및 장치
US7071085B1 (en) 2004-05-25 2006-07-04 Advanced Micro Devices, Inc. Predefined critical spaces in IC patterning to reduce line end pull back
US7015148B1 (en) 2004-05-25 2006-03-21 Advanced Micro Devices, Inc. Reduce line end pull back by exposing and etching space after mask one trim and etch
US7617473B2 (en) 2005-01-21 2009-11-10 International Business Machines Corporation Differential alternating phase shift mask optimization
JP4909729B2 (ja) * 2006-12-13 2012-04-04 株式会社東芝 検査データ作成方法および検査方法
JP5833437B2 (ja) * 2011-12-29 2015-12-16 ルネサスエレクトロニクス株式会社 シミュレーション装置およびシミュレーションプログラム

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2590376A1 (fr) 1985-11-21 1987-05-22 Dumant Jean Marc Procede de masquage et masque utilise
JP2710967B2 (ja) 1988-11-22 1998-02-10 株式会社日立製作所 集積回路装置の製造方法
US5328807A (en) 1990-06-11 1994-07-12 Hitichi, Ltd. Method of forming a pattern
US5364716A (en) 1991-09-27 1994-11-15 Fujitsu Limited Pattern exposing method using phase shift and mask used therefor
US5308741A (en) 1992-07-31 1994-05-03 Motorola, Inc. Lithographic method using double exposure techniques, mask position shifting and light phase shifting
US5302477A (en) 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
US5563012A (en) 1994-06-30 1996-10-08 International Business Machines Corporation Multi mask method for selective mask feature enhancement
US5573890A (en) 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
US5538833A (en) * 1994-08-03 1996-07-23 International Business Machines Corporation High resolution phase edge lithography without the need for a trim mask
US5537648A (en) 1994-08-15 1996-07-16 International Business Machines Corporation Geometric autogeneration of "hard" phase-shift designs for VLSI
US5595843A (en) 1995-03-30 1997-01-21 Intel Corporation Layout methodology, mask set, and patterning method for phase-shifting lithography
US5663017A (en) 1995-06-07 1997-09-02 Lsi Logic Corporation Optical corrective techniques with reticle formation and reticle stitching to provide design flexibility
US6228539B1 (en) * 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5807649A (en) 1996-10-31 1998-09-15 International Business Machines Corporation Lithographic patterning method and mask set therefor with light field trim mask
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5883813A (en) 1997-03-04 1999-03-16 International Business Machines Corporation Automatic generation of phase shift masks using net coloring
US6057063A (en) * 1997-04-14 2000-05-02 International Business Machines Corporation Phase shifted mask design system, phase shifted mask and VLSI circuit devices manufactured therewith
JP3307313B2 (ja) 1998-01-23 2002-07-24 ソニー株式会社 パターン生成方法及びその装置
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes

Also Published As

Publication number Publication date
ATE343157T1 (de) 2006-11-15
EP1218798B1 (en) 2006-10-18
JP2003510652A (ja) 2003-03-18
US20020081500A1 (en) 2002-06-27
US6335128B1 (en) 2002-01-01
AU6388700A (en) 2001-04-30
WO2001023961A1 (en) 2001-04-05
EP1218798A1 (en) 2002-07-03
DE60031429D1 (de) 2006-11-30
KR100473197B1 (ko) 2005-03-10
US6455205B1 (en) 2002-09-24
KR20020041814A (ko) 2002-06-03
DE60031429T2 (de) 2007-08-30
JP2006178498A (ja) 2006-07-06
JP3916462B2 (ja) 2007-05-16

Similar Documents

Publication Publication Date Title
JP4218972B2 (ja) 集積回路の位相シフトおよびトリム・マスクを決定する方法および装置
US7103870B2 (en) Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US8719740B2 (en) Semiconductor device which is subjected to optical proximity correction
US5847421A (en) Logic cell having efficient optical proximity effect correction
US8415089B1 (en) Single-mask double-patterning lithography
US7482661B2 (en) Pattern forming method and semiconductor device manufactured by using said pattern forming method
US7594216B2 (en) Method and system for forming a mask pattern, method of manufacturing a semiconductor device, system forming a mask pattern on data, cell library and method of forming a photomask
US20060236298A1 (en) Convergence technique for model-based optical and process correction
US7526748B2 (en) Design pattern data preparing method, mask pattern data preparing method, mask manufacturing method, semiconductor device manufacturing method, and program recording medium
US7859111B2 (en) Computer implemented method for designing a semiconductor device, an automated design system and a semiconductor device
US7712070B2 (en) Method for transferring self-assembled dummy pattern to substrate
JP2002328460A (ja) パターン形成方法、露光用マスクの形成方法及び露光用マスク
US6605481B1 (en) Facilitating an adjustable level of phase shifting during an optical lithography process for manufacturing an integrated circuit
JP2009182237A (ja) 露光条件設定方法、パターン設計方法及び半導体装置の製造方法
KR100524626B1 (ko) 서로 다른 층이 중첩된 반도체용 마스크 및 그 설계방법
KR100834234B1 (ko) 반도체 장치 제조용 마스크 패턴 결정 방법
KR100731109B1 (ko) 오프 그리드를 방지할 수 있는 반도체 소자의 설계 방법
CN113093470A (zh) 基于平面型全耗尽绝缘体上硅器件的图形解析能力的提升方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060706

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080415

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080715

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080718

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080818

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080820

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081106

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081110

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4218972

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121121

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131121

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees