JP4133659B2 - CMOSアプリケーション用の多重高κゲート誘電体を堆積する方法 - Google Patents

CMOSアプリケーション用の多重高κゲート誘電体を堆積する方法 Download PDF

Info

Publication number
JP4133659B2
JP4133659B2 JP2003275027A JP2003275027A JP4133659B2 JP 4133659 B2 JP4133659 B2 JP 4133659B2 JP 2003275027 A JP2003275027 A JP 2003275027A JP 2003275027 A JP2003275027 A JP 2003275027A JP 4133659 B2 JP4133659 B2 JP 4133659B2
Authority
JP
Japan
Prior art keywords
metal oxide
depositing
layer
ald
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003275027A
Other languages
English (en)
Other versions
JP2004153238A (ja
Inventor
エフ. コネリー ジュニア ジョン
芳睦 大野
ソランキ レジェンダー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2004153238A publication Critical patent/JP2004153238A/ja
Application granted granted Critical
Publication of JP4133659B2 publication Critical patent/JP4133659B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、集積回路製造、具体的には、トランジスタゲートをソース領域とドレイン領域との間のチャネルから分離するMOSゲート誘電体を製造する方法に関する。
シリコン上の熱成長したSiOは、MOS技術の「心臓」と呼ばれてきた。Si/SiOインターフェースは、低い界面およびバルクトラッピング、熱安定性、高い分解などの優良な半導体性質を有する。しかし、ミクロ電子部品技術の連続する世代のそれぞれにおいて、ゲート誘電体の厚さは、スケーリング、例えば、より薄くされる。厚さが、1.5nm未満にスケーリングされる場合、例えば、直接的なトンネル効果からの漏れに起因する過剰な電力消費、ホウ素貫入、信頼性についての懸念などの問題が生じる。これらの問題により、近い将来、2005年における80nmノードと同じくらい早くに、ゲート誘電体としてSiOの優勢が衰え、最終的には終わる可能性がある。SiOは、任意の所与のキャパシタンスについて厚さがより厚く、より高い誘電率(κ)の材料にとってかわられる可能性が高い。
Conley,Jr.らによる「Atomic Layer Deposition of Hafnium Oxide Using Anhydrous Hafnium Nitrate」(Electrochem.and Sol.State Lett.5(5)2002年5月)
SiOの代用物に対するこのやむにやまれぬ近々の需要にも関わらず、適切な代替品は、依然として発見されていない。この代替的な材料の要件には、低い漏れ電流、低い界面トラップ、低いトラップされた電荷、良好な信頼性、良好な熱安定性、等角な堆積などが含まれる。有望な候補材料には、HfO、ZrOなどの金属酸化物、および他の金属酸化物が含まれる。
高κ膜を堆積する場合、低κ界面層を避けることが重要である。なぜなら、非常に薄い低κ界面層でさえ、上に重ねられる高κ材料の利点の殆どを打ち消し得るからである。従って、高κ材料を、H終端シリコン層の上に直接堆積することが重要である。
正角性および厚さの制御に対する要件のため、原子層堆積(ALD)は、高κ材料の最も有望な堆積技術のうちの1つとして発生した。この技術において、誘電材料は、自己制御式の様態で、層ごとに構築される。すなわち、1つの化学種の単一層のみが所与の表面上に吸着する、堆積現象である。現在では、金属酸化物を堆積するための主要なALD前駆物質は、ハロゲン化金属および有機金属である。また、いくつかの実験においては、高κ誘電体前駆物質として無水硝酸金属が用いられてきた。
塩化金属前駆物質、例えば、ZrClなどを用いて堆積されたZrOの膜は、高κ誘電率および低い漏れ電流を含む良好な絶縁性質を示してきた。しかし、ZrClの主な欠点は、H終端シリコン上に直接滑らかに堆積されないこと、いくつかの「育成」サイクルが必要であること、および均一に開始するためにSiOの薄層が必要であることである。これらの問題は、塩化金属前駆物質が製造において用いられ得る前に解決される必要がある。
有機金属前駆物質の欠点は、有機的汚染の可能性があることである。Hf(NOは、実行可能なALD前駆物質であることが示されてきたが、「Method to Initiate the Atomic Layer Deposition of a High Dielectric Constant Material Directly on a Silicon Substrate」という名称の2001年6月28日に出願された米国特許出願第09/894,941号、および、Conley,Jr.らによる「Atomic Layer Deposition of Hafnium Oxide Using Anhydrous Hafnium Nitrate」(Electrochem.and Sol.State Lett.5(5)2002年5月)において特定されているように、Hf(NOの主な利点は、H終端シリコン上で直接堆積を開始することを可能にし、均一な薄層が得られることである。この方法は、低κ界面層を避ける可能性を有する。しかし、実験作業によって、Hf(NOのALDを介して堆積されるHfO膜は、誘電率が予測されるよりも低いことが示された。これは、恐らくは、酸素が豊富であるという膜の性質のためである。得られる膜の「バルク」誘電性質は、硝酸金属前駆物質が、幅広く用いられるようになるまえに改善される必要がある。
本発明の方法は、集積回路において高κ誘電材料の層を形成する方法であって、シリコン基板を準備する工程と、硝酸金属前駆物質を用いるALDを用いて第1の金属酸化物の層を堆積する工程と、塩化金属前駆物質を用いるALDを用いて他の金属酸化物の層を堆積する工程と、該集積回路を完成させる工程とを包含し、それによって上記目的を達成する。
前記準備する工程は、前記シリコン基板のH終端表面を形成する工程を含んでもよい。
前記形成する工程は、前記シリコン表面をHFにより露出させる工程を含んでもよい。
前記第1の金属酸化物の層を堆積する工程は、1〜5回のALDサイクルを用いて、金属酸化物の層を堆積する工程を含んでもよい。
前記他の金属酸化物の層を堆積する工程は、複数のALDサイクルを用いて、金属酸化物の層を堆積して、所望の金属酸化物層厚を得る工程を含んでもよい。
HfO、ZrO、Gd、La、CeO、TiO、Y、Ta、およびAlからなる金属酸化物の群から選択される、前記シリコン基板上に堆積される金属酸化物を選択する工程を含んでもよい。
前記第1の金属酸化物の層を堆積する工程は、約0.1nm〜1.5nmの間の厚さの最初の金属酸化物の層を堆積する工程を含んでもよい。
前記他の金属酸化物の層を堆積する工程は、約3nm〜10nmの間の厚さの金属酸化物の層を堆積する工程を含んでもよい。
本発明の方法は、集積回路において高κ誘電ゲート酸化物の層を形成する方法であって、シリコン基板を準備する工程であって、該シリコン基板のH終端表面を形成する工程を含む、工程と、硝酸金属前駆物質を用いるALDを1〜5回用いて第1の金属酸化物の層を堆積して、所望の金属酸化物層厚を得る工程と、塩化金属前駆物質を用いるALDを複数回用いて他の金属酸化物の層を堆積する工程と、該集積回路を完成させる工程とを包含し、それにより上記目的を達成する。
前記形成する工程は、前記シリコン表面をHFにより露出させる工程を含んでもよい。
HfO、ZrO、Gd、La、CeO、TiO、Y、Ta、およびAlからなる金属酸化物の群から選択される、前記シリコン基板上に堆積される金属酸化物を選択する工程を含んでもよい。
前記第1の金属酸化物の層を堆積する工程は、約0.1nm〜1.5nmの間の厚さの最初の金属酸化物の層を堆積する工程を含んでもよい。
前記他の金属酸化物の層を堆積する工程は、約3nm〜10nmの間の厚さの金属酸化物の層を堆積する工程を含んでもよい。
本発明の方法は、集積回路においてHfO高κ誘電ゲート酸化物の層を形成する方法であって、シリコン基板を準備する工程であって、該シリコン基板のH終端表面を形成する工程を含む、工程と、Hf(NO前駆物質を用いるALDを1〜5回用いて第1のHfO金属酸化物の層を堆積して、所望の金属酸化物層厚を得る工程と、HfCl前駆物質を用いるALDを複数回用いて他のHfOの層を堆積する工程と、該集積回路を完成させる工程とを包含し、それにより上記目的を達成する。
前記形成する工程は、前記シリコン表面をHFにより露出させる工程を含んでもよい。
前記第1の金属酸化物の層を堆積する工程は、約0.1nm〜1.5nmの間の厚さの最初の金属酸化物の層を堆積する工程を含んでもよい。
前記他の金属酸化物の層を堆積する工程は、約3nm〜10nmの間の厚さの金属酸化物の層を堆積する工程を含んでもよい。
集積回路において、高κ誘電材料の層を形成する方法は、シリコン基板を準備する工程と、硝酸金属前駆物質を用いるALDを用いて第1の金属酸化物の層を堆積する工程と、塩化金属前駆物質を用いるALDを用いて他の金属酸化物の層を堆積する工程と、集積回路を完成させる工程とを含む。
本発明の目的は、シリコン基板上に金属酸化物高κ層を堆積することである。
本発明の他の目的は、シリコン基板上に低κ界面層を形成することを必要とせずに、シリコン基板上に金属酸化物高κ層を堆積することである。
本発明の他の目的は、漏れ電流が低い性質を有する高κ層を提供することである。
本発明のこの要旨および目的は、本発明の性質を短時間で理解することを可能にするために提供されている。本発明のより完全な理解は、以下の本発明の好適な実施形態の詳細な説明を、図面とともに参照することによって得ることができる。
本発明の方法は、Hf(NOの1回、または1〜5回までのサイクルで、H終端シリコン上のALD堆積を開始し、その後、HfClのような他の前駆物質を用いて、所望の厚さまで、残りの膜のALD堆積が続く。本発明の方法によって、最初の低κ界面層が必要なくなるが、依然として、高誘電率の「バルク」膜が達成されるようになる。
本出願は、「Method to Initiate the Atomic Layer Deposition of a High Dielectric Constant Material Directly on a Silicon Substrate」という名称の2001年6月28日に出願された米国特許出願第09/894,941号に関連する。
ゲート形成の最新技術は、高温でのシリコンの酸化を必要とする。SiOが酸化金属、例えば、HfO、ZrOなどによって代用される可能性が高い。高κ堆積方法が未だ確立されていないにも関わらず、主要な技術は、原子層堆積(ALD)である。ALDは、典型的には、単一の前駆物質、例えば、四塩化金属、有機金属、または無水硝酸金属を用いて行われる。上述したように、これらの前駆物質は、全て、大きな欠点を有する。
金属酸化物のALDについて現在利用可能な主要な前駆物質は、重大な欠点を有するので、本発明の方法は、得られる金属酸化物の膜の質を改善する前駆物質の組合せを含む。前駆物質の組合せは、各前駆物質の利点を利用し、前駆物質の使用に関連する欠点を最小にする。本発明の方法は、Hf(NOの1回、または1〜5回までのサイクルで、H終端シリコン上のALD堆積を開始し、その後、HfClのような他の前駆物質を用いて、所望の厚さまで、残りの膜のALD堆積が続く。本発明の方法によって、最初の低κ界面層が必要なくなるが、依然として、高誘電率の「バルク」膜が達成されるようになる。
本発明の方法は、個々の前駆物質の強度を、組合せで、組み込み、H終端シリコン上に直接高κ膜を堆積することを達成する。Hf(NO前駆物質は、H終端シリコン上で直接開始することを提供し、HfClの前駆物質を用いてさらなるALDのためのベース層を提供する。
別の前駆物質を用いることは上述されたが、このような別の前駆物質を用いることは、異なる前駆物質を用いて異なる金属酸化物、例えば、HfO−ZrO、Ta2O5−HfOなどを挟んで、H.Zhangらによる「High Permittivity Thin Film Nanolaminates」(J.Appl.Phys.87,1921(2000))に記載されているようなナノラミネートを作成することを意味する。複数の堆積サイクルを用いて同じ金属酸化物を堆積する異なる前駆物質の組合せが報告されたということは確認されていない。
本発明の方法は、ALDを用いるゲート酸化物堆積の方法を説明する。1回目、または1〜5回目までのALD堆積サイクルは、無水硝酸ハフニウム(Hf(NO)を前駆物質として用い、残りのサイクルは、前駆物質として、四塩ハフニウム(HfCl)を用いる。1回のALD堆積サイクルは、前駆物質、すなわち、硝酸ハフニウムまたは四塩ハフニウムのパルス、続く窒素パージ、その後の水蒸気のパルス、最終的には、もう1つの窒素パージを含む。
図1を参照すると、ゲート酸化物の堆積前の構造は、任意の最新技術による方法によって形成される。このような方法には、シリコン基板10およびフィールド酸化物領域12および14を準備する工程が含まれる。以下の図に示す例は、ゲート処理の代用である。ゲート酸化物の形成前の最後の工程は、シリコン表面をHFにより露出させて、H終端シリコン表面16を準備することである。
図2に、Hf(NO前駆物質を用いるALDを介して堆積されたHfOの最初、または第1の層18を示す。この工程の目的は、「育成」期間またはSiO薄層を必要とせずに、H終端シリコン上に直接堆積を開始することである。最初の層は、約0.1〜1.5nmの間の厚さで形成される。
図3に、HfCl前駆物質を用いて所望の厚さまで堆積された、他のHfO「バルク」層20を示す。この所望の厚さは、好適な実施形態においては、約3〜10nmの間の厚さである。この工程の目的は、予測される高誘電率の「バルク」HfO膜を作成することである。
本発明のこの方法の製造プロセスは、エッチングプロセスまたはCMPのいずれかが続く、ゲート材料、例えば、ゲート金属の堆積を進める。残りの工程は、当業者にとって周知の従来の製造プロセスである。本発明の方法は、最初の低κ界面層の必要性をなくし、依然として、高誘電率の膜を達成する。
HfO膜Aが、Hf(NO前駆物質を用いるALDの1回のサイクルを介して堆積され、その後、HfCl前駆物質を用いるALDの40回のサイクルが続いた。比較として、HfO膜Bが、1回のサイクルのHf(NO前駆物質を用いるALD工程(ALD硝酸工程)なしに、HfCl前駆物質を用いるALDの40回のサイクルのみを用いて堆積された。分光楕円偏光計測定によって、最初のALD硝酸工程を用いて堆積されたHfO膜Aは、平均の厚さが8.0nmであり、標準偏差が0.5nmであったことが明らかにされた。ALD硝酸工程なしで堆積されたHfO膜Bは、平均の厚さが4.2nmであり、標準偏差が1.8nmであった。HfO膜AがHfO膜Bよりも滑らかであり、厚いという事実は、本発明の方法の有用性を示している。すなわち、Hf(NO前駆物質を用いるALDの1サイクルによって、後のHfCl前駆物質を用いるALDの開始層が有効に提供される。HfO膜Aがより厚いという事実は、HfClALDの典型的な「育成」期間が必要ないということを示す。
Hf(NOを用いる、1回、または1〜5回までのALDサイクルで堆積された層は、他の前駆物質、例えば、MI、MBrなどのハロゲン化金属(Mは金属元素を表す)、または、アルコキシド、アセチルアセトネート、t−ブトキシド、エトキシドなどの有機金属を用いるALDの開始層として用いられ得る。本明細書中に記載のHfO処理に加えて、他の金属酸化物、例えば、ZrO、Gd、La、CeO、TiO、Y、Ta、Alなどが堆積され得る。
集積回路において、高κ誘電材料の層を形成する方法は、シリコン基板を準備する工程と、硝酸金属前駆物質を用いるALDを用いて第1の金属酸化物の層を堆積する工程と、塩化金属前駆物質を用いるALDを用いて他の金属酸化物の層を堆積する工程と、集積回路を完成させる工程とを含む。 上記のように、CMOSアプリケーション用の多重高κゲート誘電体を堆積する方法が開示されてきた。この方法のさらなる変形および改変が、添付の特許請求の範囲に記載の発明の範囲内で行われ得ることが理解される。
本発明の方法は、Hf(NOの1回、または1〜5回までのサイクルで、H終端シリコン上のALD堆積を開始し、その後、HfClのような他の前駆物質を用いて、所望の厚さまで、残りの膜のALD堆積が続く。本発明の方法によって、最初の低κ界面層が必要なくなるが、依然として、高誘電率の「バルク」膜が達成されるようになる。
図1は、H終端シリコン表面を有するシリコン基板を表す図である。 図2は、最初のHfO層の堆積後の基板を表す図である。 図3は、第2および最後のHfO層の堆積後の構造を表す図である。
符号の説明
10 シリコン基板
12 フィールド酸化物領域
14 フィールド酸化物領域
16 H終端シリコン表面

Claims (17)

  1. 集積回路において高κ誘電材料の層を形成する方法であって、
    シリコン基板を準備する工程と、
    硝酸金属前駆物質を用いるALDを用いて第1の金属酸化物の層を堆積する工程と、
    塩化金属前駆物質を用いるALDを用いて他の金属酸化物の層を堆積する工程と、
    該集積回路を完成させる工程と
    を包含する、方法。
  2. 前記準備する工程は、前記シリコン基板のH終端表面を形成する工程を含む、請求項1に記載の方法。
  3. 前記形成する工程は、前記シリコン表面をHFにより露出させる工程を含む、請求項2に記載の方法。
  4. 前記第1の金属酸化物の層を堆積する工程は、1〜5回のALDサイクルを用いて、金属酸化物の層を堆積する工程を含む、請求項1に記載の方法。
  5. 前記他の金属酸化物の層を堆積する工程は、複数のALDサイクルを用いて、金属酸化物の層を堆積して、所望の金属酸化物層厚を得る工程を含む、請求項1に記載の方法。
  6. HfO、ZrO、Gd、La、CeO、TiO、Y、Ta、およびAlからなる金属酸化物の群から選択される、前記シリコン基板上に堆積される金属酸化物を選択する工程を含む、請求項1に記載の方法。
  7. 前記第1の金属酸化物の層を堆積する工程は0.1nm〜1.5nmの間の厚さの最初の金属酸化物の層を堆積する工程を含む、請求項1に記載の方法。
  8. 前記他の金属酸化物の層を堆積する工程は3nm〜10nmの間の厚さの金属酸化物の層を堆積する工程を含む、請求項1に記載の方法。
  9. 集積回路において高κ誘電ゲート酸化物の層を形成する方法であって、
    シリコン基板を準備する工程であって、該シリコン基板のH終端表面を形成する工程を含む、工程と、
    硝酸金属前駆物質を用いるALDを1〜5回用いて第1の金属酸化物の層を堆積して、所望の金属酸化物層厚を得る工程と、
    塩化金属前駆物質を用いるALDを複数回用いて他の金属酸化物の層を堆積する工程と、
    該集積回路を完成させる工程と
    を包含する、方法。
  10. 前記形成する工程は、前記シリコン表面をHFにより露出させる工程を含む、請求項9に記載の方法。
  11. HfO、ZrO、Gd、La、CeO、TiO、Y、Ta、およびAlからなる金属酸化物の群から選択される、前記シリコン基板上に堆積される金属酸化物を選択する工程を含む、請求項9に記載の方法。
  12. 前記第1の金属酸化物の層を堆積する工程は0.1nm〜1.5nmの間の厚さの最初の金属酸化物の層を堆積する工程を含む、請求項9に記載の方法。
  13. 前記他の金属酸化物の層を堆積する工程は3nm〜10nmの間の厚さの金属酸化物の層を堆積する工程を含む、請求項9に記載の方法。
  14. 集積回路においてHfO高κ誘電ゲート酸化物の層を形成する方法であって、
    シリコン基板を準備する工程であって、該シリコン基板のH終端表面を形成する工程を含む、工程と、
    Hf(NO前駆物質を用いるALDを1〜5回用いて第1のHfO金属酸化物の層を堆積して、所望の金属酸化物層厚を得る工程と、
    HfCl前駆物質を用いるALDを複数回用いて他のHfOの層を堆積する工程と、
    該集積回路を完成させる工程と
    を包含する、方法。
  15. 前記形成する工程は、前記シリコン表面をHFに露出させる工程を含む、請求項14に記載の方法。
  16. 前記第1の金属酸化物の層を堆積する工程は0.1nm〜1.5nmの間の厚さの最初の金属酸化物の層を堆積する工程を含む、請求項14に記載の方法。
  17. 前記他の金属酸化物の層を堆積する工程は3nm〜10nmの間の厚さの金属酸化物の層を堆積する工程を含む、請求項14に記載の方法。
JP2003275027A 2002-10-31 2003-07-15 CMOSアプリケーション用の多重高κゲート誘電体を堆積する方法 Expired - Fee Related JP4133659B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/286,100 US6686212B1 (en) 2002-10-31 2002-10-31 Method to deposit a stacked high-κ gate dielectric for CMOS applications

Publications (2)

Publication Number Publication Date
JP2004153238A JP2004153238A (ja) 2004-05-27
JP4133659B2 true JP4133659B2 (ja) 2008-08-13

Family

ID=30443881

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003275027A Expired - Fee Related JP4133659B2 (ja) 2002-10-31 2003-07-15 CMOSアプリケーション用の多重高κゲート誘電体を堆積する方法

Country Status (4)

Country Link
US (1) US6686212B1 (ja)
JP (1) JP4133659B2 (ja)
KR (1) KR100538677B1 (ja)
TW (1) TWI231572B (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
KR100469158B1 (ko) * 2002-12-30 2005-02-02 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7442415B2 (en) * 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7192824B2 (en) * 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR100550641B1 (ko) * 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) * 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
JP2006169556A (ja) * 2004-12-13 2006-06-29 Horiba Ltd 金属酸化物薄膜の成膜方法
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
KR100584783B1 (ko) 2005-02-24 2006-05-30 삼성전자주식회사 복합막 형성 방법과 이를 이용한 게이트 구조물 및 커패시터 제조 방법
US7687409B2 (en) * 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
JP4868910B2 (ja) * 2006-03-30 2012-02-01 株式会社東芝 半導体装置およびその製造方法
JP2013143424A (ja) * 2012-01-10 2013-07-22 Elpida Memory Inc 半導体装置及びその製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
JP2000058832A (ja) * 1998-07-15 2000-02-25 Texas Instr Inc <Ti> オキシ窒化ジルコニウム及び/又はハフニウム・ゲ―ト誘電体
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US7026219B2 (en) * 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6511876B2 (en) * 2001-06-25 2003-01-28 International Business Machines Corporation High mobility FETS using A1203 as a gate oxide
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
WO2004010466A2 (en) * 2002-07-19 2004-01-29 Aviza Technology, Inc. Metal organic chemical vapor deposition and atomic layer deposition of metal oxynitride and metal silicon oxynitride

Also Published As

Publication number Publication date
US6686212B1 (en) 2004-02-03
JP2004153238A (ja) 2004-05-27
TWI231572B (en) 2005-04-21
KR20040038608A (ko) 2004-05-08
KR100538677B1 (ko) 2005-12-23
TW200406883A (en) 2004-05-01

Similar Documents

Publication Publication Date Title
JP4133659B2 (ja) CMOSアプリケーション用の多重高κゲート誘電体を堆積する方法
TWI312542B (en) Atomic layer deposited titanium aluminum oxide films
JP4055941B2 (ja) 原子層堆積法を用いて基板上に高誘電率材料を堆積する方法
TW468212B (en) Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6709989B2 (en) Method for fabricating a semiconductor structure including a metal oxide interface with silicon
JP3761419B2 (ja) Mosfetトランジスタおよびその製造方法
US6875677B1 (en) Method to control the interfacial layer for deposition of high dielectric constant films
US6989573B2 (en) Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
TWI276700B (en) Atomic layer deposition of nanolaminate film
TWI278918B (en) High K dielectric film and method for making
TWI263695B (en) Atomic layer deposition of oxide film
TW589925B (en) Method for forming Ta2O5 dielectric layer using plasma enhanced atomic layer deposition
US20040266217A1 (en) Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
JP2001068469A (ja) 漏洩電流密度を軽減した半導体構造の作成方法
JP2001267566A (ja) 多層誘電体スタックおよびその方法
JP2004247736A (ja) 高温度における高kゲート誘電体用の界面層成長
TW200839872A (en) Method of manufacturing semiconductor device
JP4120938B2 (ja) 高誘電率絶縁膜を有する半導体装置とその製造方法
JP3756456B2 (ja) 半導体装置の製造方法
JP4563016B2 (ja) シリコン基板の複合面に酸化膜を形成する方法
KR101381324B1 (ko) 산화물/반도체 계면을 제조하는 방법
TWI289893B (en) Method for producing a dielectric material on a semiconductor device and semiconductor device
US11791153B2 (en) Deposition of hafnium oxide within a high aspect ratio hole
US11976364B2 (en) Method for selectively manufacturing material layer and target pattern
TWI232893B (en) Method for forming metal oxide layer by nitric acid oxidation

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050810

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080523

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080602

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4133659

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130606

Year of fee payment: 5

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D04

LAPS Cancellation because of no payment of annual fees