JP4079992B2 - 導電性被処理体を載置部材に締め付けるための装置及び静電クランピング方法 - Google Patents

導電性被処理体を載置部材に締め付けるための装置及び静電クランピング方法 Download PDF

Info

Publication number
JP4079992B2
JP4079992B2 JP51399296A JP51399296A JP4079992B2 JP 4079992 B2 JP4079992 B2 JP 4079992B2 JP 51399296 A JP51399296 A JP 51399296A JP 51399296 A JP51399296 A JP 51399296A JP 4079992 B2 JP4079992 B2 JP 4079992B2
Authority
JP
Japan
Prior art keywords
wafer
gas
platen
mounting member
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP51399296A
Other languages
English (en)
Other versions
JPH11504760A (ja
Inventor
ホワイト,ニコラス・アール
Original Assignee
バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド filed Critical バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Publication of JPH11504760A publication Critical patent/JPH11504760A/ja
Application granted granted Critical
Publication of JP4079992B2 publication Critical patent/JP4079992B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

発明の背景
本発明は,真空チャンバ内の処理ステージ上で処理中の被処理体の温度制御に関し,特に真空内でのイオン注入のような処理中に半導体ウエハまたは平坦パネルディスプレイなどの被処理体のガス補助冷却,または同様の処理中の被処理体のガス補助冷却若しくは加熱に関する。
超小形電子回路の製造においてイオン注入によるシリコンウエハのドーピングの間に,1平方センチメートル当たり約数百ジュールのエネルギーが薄いシリコンウエハに注入される。商業的理由で,このエネルギーは短時間の間に注入されなければならず,処理は,ウエハ温度がプロセスに依存して典型的に100℃以下の温度領域内に制御されるべきことを要求する。したがって,ある種の熱除去手段が一般に必要である。歴史的に,高電流イオン注入は,処理サイクルの一連の短いサブインターバルの間に,約25枚のウエハバッチ内の各ウエハがビーム前面に運ばれまたは回転されながら実行されてきた。この装置は平均加熱速度を低下させ,輻射及びキャリアとの伝導接触により自然に発生した穏やかな熱消失速度が,許容できる低いウエハ温度を維持する。しかし,いくつかのインプランターにおいて,また多くの他のプロセスに対し,ウエハは冷却されたプラテンに対し一度に機械的にクランプ処理される。Kingによる米国特許第4,261,762号に述べられるように,ウエハからの熱除去を強化するために,しばしばウエハ背面にガスが導入され,またあるプロセスにおいてはウエハは同様の手段によって積極的に加熱されることもある。ガス冷却の間,フルリングまたはマルチポイントクランプは,ウエハをエラストマから形成されたシールリングに押し付ける。
Holdenによる米国特許第4,457,359号に記載されているように,このタイプの1回の処理によって,冷却ガス圧力はウエハをドーム形に変形させる。プラテンからのウエハの間隔を制御することは困難でありかつガス冷却はこの間隔に非常に依存しているため,そのようなドーム変形は不所望である。さらに,ドーム変形はウエハ内にダメージを引き起こす応力を誘導し,またウエハの湾曲のためウエハ表面でのイオン入射角度の変化を生じさせ,続いてそれが他の不所望なプロセス変化を引き起こすことになる。したがって,ウエハをフラットに載置しかつ維持することが好適である。
しかし,クランプはウエハの一部を処理から隠しそれによってウエハ材料の一部が無駄になるので,ウエハの表面側がクランプと接触するのを避けることもまた所望される。クランプはまたダメージまたは損傷を導く局所応力を生成し,適正な処理と干渉する粒子を生成し,また粒子または異物のウエハ内への埋め込みが生じ得る。表面クランプはまたイオンビームに当てられ,または他の処理にさらされ,したがってスパッタ汚染源になる。
最も好適には,ウエハの重大な変形を生じさせることなくウエハの裏面を冷却し,完全に均一な圧力をウエハ全面にかけ,周囲における集中する力によるクランプを避けることである。しかし,本質的なのは,ウエハの裏側からのガスもれ流量を,すでに真空の程度を弱めかつ排気装置に負荷を与えている他のガスソースより十分下のレベルに維持することであり,もし漏れが発生したらガス漏れを厳しく制御することも所望される。したがって概して,一方の処理効率ともう一方のクランプまたはガス冷却の使用とはトレードオフの関係にあり,それがガス冷却の適用をRFスパッタリングのような比較的高圧力の処理に限定してしまう。
発明の開示及び目的
したがって,本発明の目的は,処理中の被処理体の側部を締め付けまたは接触することなく,真空内で処理中の被処理体を加熱または冷却することである。
また本発明の他の目的は,被処理体とその支持体表面との間の空間から真空チャンバ内へのガス漏れが,ウエハ処理に逆に作用しないように,そのガス量を制御することである。
さらに本発明の他の目的は,従来の温度調節技術に付随してきたダメージ及び汚染の元を最小化しかつ制御することである。
本発明にしたがって,ウエハ等の被処理体の温度は,ウエハを平坦なプラテン上に固定し,ウエハとプラテンとの間のウエハの周辺領域内に熱伝導媒体を導入することにより真空チャンバ内で制御される。ウエハはその表面全体に分布した低い接触圧力によりプラテンに対し押し付けられ,ウエハ及びプラテンの対向面は両者とも滑らかかつ平坦であるため,熱伝導媒体は接触物質の表面の粗さにより本質的に画成された空間を満たす。粗さは,熱伝導速度が速くかつウエハがプラテンの温度に伝導的に冷却されるように,十分に低く維持される。遠心的クランピング,磁石クランピング(強磁性被処理体用),または静電クランピング(抵抗被処理体用)が,表面のあらゆる部分を覆うことなく被処理体の表面全体に均等な力の分布を達成するべく採用される。プラテンの表面内に形成された真空チャネルは,ウエハの下から漏れる多くの残留流体がチャンバ圧力を損なわないように,差動ポンピングにより熱伝導流体を掃気する。好適に,平坦度は被処理体及びプラテン表面にわたって10〜20ミクロンより良く維持され,表面の粗さは約0.8ミクロン以下に保持される結果,一緒に締め付けられた際,被処理体とプラテンの真空チャンバへの漏れに関する流体熱接触間隔は,実質的に他のすべての処理ソースからのスタティックロード以下のレベルで与えられる。静電クランプは,非動力(non-motoring)であるがダイナミックな締め付け力パターンを与えるべく空間的配置により異なるシーケンスで作動する複数の長くかつ薄い電極領域を含む。
【図面の簡単な説明】
本発明のこれら及び他の特徴は,以下の図面とともに詳細に説明される。
図1は,従来技術の冷却機構を示す。
図2は,他の従来技術の冷却機構を示す。
図3は,他の従来技術の冷却機構を示す。
図4(A)は本発明の基本的実施例を示し,図4(B)はその圧力分布を示し,図4(C)は本発明の他の実施例を示す。
図5は,ガスの分子量の関数としてガス圧力を表す。
図6は,ガス分子量の関数としてガスの平均自由行程を表す。
図7は,本発明の差動ポンプ実施例を示す。
図8(A)は本発明の静電クランプ実施例の平面図であり,図8(B)及び図8(C)は図8(A)の静電クランプの構成を詳細に示したものである。
図9は,静電クランプ装置の好適実施例内で使用される電圧波形及び発生する合力を示す。
図10は,遠心力により被処理体が加熱流体または冷却載置部材に締め付けられるところの本発明の実施例を示す。
詳細な説明
上記されたKing及びHoldenの特許である,真空内で処理中の被処理体をガス補助加熱または冷却するための装置及び方法は,被処理体の処理表面側に接触する機械的クランプ及び冷却ガスを閉じ込めるための周辺シールを使用する。他にも,漏れを有する流体冷却がある種の応用に対し金属と金属の接触よりもより効果的であることが提案されてきたが,クランプ圧力,ガス種類,漏れ及び冷却速度との間の複雑なトレードオフを扱ってはいない。発明は上記2つの特許に示されたすべてのアプローチの詳細な考察に従って完全に理解される。
図1に示される従来技術の構成において,半導体ウエハ2がリングクランプ30により冷却平坦支持部材23に対し押し付けられる。リングクランプのちょうど反対側の支持部材23の表面に設置されたOリング20は,オリフィス22を通じて減圧下で導入されたガスをウエハと支持部材の間の空間内に閉じ込めるべくシールを与える。この技術はイオンインプランテーションにおいて使用されてきたが,この装置の欠点として,ウエハに対し応力を与えること,ウエハ表面にパーティクルが生成されること,クランプリングにより覆われた半導体ウエハの使用可能部分の損失,クランプリングからの汚染物のウエハ上へのスパッタリング,及び表面の局所的な押しつけ及び背面の均一なガス圧力の影響によりウエハがそることで冷却効果が低減されるため冷却効果は最適ではない点,などが上げられる。
図2に示された従来技術の他の構成において,冷却ガスがウエハをそらせる傾向が認識されかつ扱われた。この構成において,プラテン36は緩やかなドーム形をしており,その結果,プラテン36に対しリング42でウエハ2をその周辺で押し付ける作用により,ウエハはプラテン全体に対し緩やかに曲がり,チャネル37を通じて減圧下でガスを導入する前にほぼ均一な接触程度でプラテンにもたれかかる。ドーム形のプラテンは,適正なガス圧力において,ウエハとプラテンの分離を防止する。引例特許で議論されているように,分離が大きすぎると得られる熱伝達レベルが減少することが知られ,それでこの構成はより良い冷却特性を達成する。しかし,このアプローチの欠点として,ウエハの湾曲によりウエハ表面に対するイオンビームの入射角度が変化し,上記したようにそれが不所望のプロセス変化を導く点が上げられる。他の欠点として,上記表面クランプの一般的短所とともにすでに存在する欠点によるプレーナチャネリング及びウエハの破損が上げられる。
図3は図1に示された従来技術の応用であって,ステージと,クランプと,冷却機構がウエハ保持組立体に一体化されている。この実施例において,ウエハ2は吸気管128及び排気管129を通じる流体の流れにより積極的に冷却されるヒートシンク部材119に対向して垂直に設置されている。部材119の表面は中央の平坦凹形床125を有し,また各々が機構104により動く複数の小さいクリップ組立体103がヒートシンクの周辺リップに対してウエハ2を押し付け,その結果ウエハは床面125に近接するが接触はしない。中央ガス吸気管は圧力を上げかつ熱伝達速度を増加させるべく,ウエハとヒートシンクの間隔へ100〜1000ミクロン気圧のアルゴンを送り出す。ウエハを加熱するのに使用される際には,ガスは加熱される。冷却用に使用される際には,ガス圧力はチャンバ内の残留ガスの10倍以上であり,スパッタリングチャンバの通常の10ミクロンチャンバ気圧を維持するべく一定量の熱伝導ガスがウエハの端から漏れている。ヒートシンクはOリングシール121を通じて真空チャンバ壁102に対しシールされる背面プレート120上に載置され,動作位置にないとき壁102の開口は圧力プレート105及びシールリング101によって覆われる。ガス導入通路126及び熱交換プレート用の流体熱交換通路128,129の両方は,背面プレート120を貫通する。エッジクリップ103は図1の構成におけるクランプリングによるウエハの無駄領域を幾分削減するが,その構成に固有の問題は残されたままである。
本発明はこれらの従来技術のアプローチと異なり,被処理体がその全表面に分布した力により広い接触領域にわたってプラテンに対し押し付けられた状態で,熱伝導媒体としての減圧ガスを2つの平坦かつ相互に接触する面の間に形成されたランダムに変化する間隔内に与えるというものである。ガスは実質的に均一な熱伝導を与えるために周辺経路線に沿って導入される。典型的に,被処理体は薄く平坦なウエハであり,該被処理体は実質的に均一な圧力を有する非常に平坦なプラテンに当該被処理体を載置することにより,またウエハとプラテンの間にガスを導入することにより加熱又は冷却される。プラテンは,以下に説明されるような伝導媒体用の穴及び溝を除き,好適には+/-0.0005”以上に実際に平坦に作成され,かつ滑らかに磨かれている。
有利にも,平均圧力より多くのオーダー大きな局所圧力を要求するプラテンとウエハとの間の局所領域内に気密シールを作成する必要がない。むしろ,プラテンと被処理体の両方は,均一に接触するかまたは実質的に均一に接触近接するように十分に平坦に作られ,また好適には32ミクロインチを越えない微視的な粗さで滑らかに作られる。ガスはプラテンと被処理体の表面内の微視的な谷空間を占有する。プラテンのエッジからの小さい量のガス漏れは,ウエハのエッジに非常に近い経路に沿って走るプラテン表面内の溝またはリング状の穴によって被処理体とプラテンとの間の領域内に導入されるガスの一定流量と一致する。ガス導入の領域は,ガス排気口がないウエハ領域の中央の回りに定圧境界を形成する。このことは,伝導媒体により占められる空間が不規則な形状でありまた非常に狭いディメンジョンであるにも関わらず,ガスはガスの平均自由行程以下のウェハ-プラテンギャップ内で被処理体の下に均一に分布されたまま残ることを保証する。こうして,熱伝導が最大化される。
締め付ける力が周辺に集中した従来技術と違い,本発明に従えば,被処理体とプラテンの間に印加された圧力は低くかつプラテンの全表面にわたって実質的に均一である。適正なクランプ力は,重力(大きな密度の被処理体及び/または十分低いガス冷却圧力用),求心加速,磁気クランプ(強磁性被処理体用)または静電クランプ(シリコンウエハのような適当な導電性被処理体用)により与えられる。
図4(A)はこの構成を示している。平坦で薄い被処理体2が高真空で平坦なプラテン201上に載置され,水などの液体がチャネル204を流れてプラテン201を通過する。液体は,加熱,冷却またはプロセス及び使用される物体に必要なリファレンス温度に維持される。ガスは流量制御器205によってほぼ0.25sccmに制御された流量で,リングまたは円形溝203を通じて被処理体とプラテンの間のインタフェースへ入るコンジットまたはチャネル内へ導入される。この溝またはリング状の穴203は以下ガス導入リングと呼ばれる。従来技術の構成では冷却ガスの圧力が制御されるが,本発明に従えば質量流量が制御される。この重要な違いが,以下に詳細に説明されるさまざまな効果を生み出すが,それは周辺シールが消滅している点及び非常に平坦な表面を使用する点に関連して,圧力及び公称熱伝導ギャップ間隔が非常に低く維持され,高速の熱伝導が得られることを保証する。
ウエハがイオン注入中にフォトレジストにより部分的に覆われるとき,フォトレジストのみが,有機フォトレジスト上へのイオンビーム作用により遊離した数sccmの水素ガスのソースとなる。したがって,ウエハ冷却装置によるわずか1sccmの水素ガスの漏れはインプランテーションプロセスに重大な影響を与えないし,特にもし冷却により低下したウエハ温度がレジストから遊離した水素への負の効果を補償する場合にはなおさらである。したがって,特に上記したように,もし冷却ガスの量がガス抜きを減少させる温度変化をもたらす量より少ないなら,ガス冷却装置は安全にある量のガスを導入する。この認識に基づいて,出願人はそのように画成されたガス漏れ流量を操作するべく,熱交換プラテンに関する構成を決定した。
もし表面が完璧に平坦であれば,そのように調整されたガス流は理論的には,ガス流がプラテンのエッジで逃げるのに十分な距離だけ被処理体をプラテンから持ち上げる。しかし,実際には,半導体ウエハの表面は例えば従来の達成仕上げ規格である12ミクロインチr.m.s内の粗さを有し,数万分の1インチまでの粗さの欠点は許容されている。有利にも出願人は,以下に詳細に説明されるように,上記表面仕上げ特性により画成された不規則な間隙熱伝導空間に一致する低圧及び流量のガスにより実行される付加的な熱伝導とともに,被処理体とプラテンの間の堅い接触を維持するべくこれらの表面の変化を利用する。
図4(B)は,ウエハの一方から他方への距離の関数として,ウエハ/プラテン間隙空間内のガス圧力のグラフを示す。ガス導入経路のリング203の内側で,ガス圧力はガス流が開始されて直ぐに定常状態に達する。実際に,このポンプアップ間隔は1秒以下である。
もし,ガスがウエハ/プラテン組立体の中央の穴を通じて単純に,場合によってある現存の商業的部品(例えば,図1のエレメント22または図3のエレメント126のような上記従来技術に示されたエレメント)を通じて導入されれば,機械的エッジ締め付け及びエラストマシールが存在しない状態で,ウエハの下側の圧力プロファイルはエッジに比べ中央が高くなる。このことは不均一な熱伝導を導入し,またガス漏れ流量はエッジでの適当な圧力を維持するべく非常に多くなければならない。本発明に従ってプラテンのエッジに近接した経路に沿ってガスを導入することにより,効果的熱伝導を得るのに高い圧力を必要とせず,図4(B)の圧力プロファイルに示されるように,圧力はウエハとプラテンの間の大部分の内側領域にわたって静的な平衡状態となる。出願人はクランプリングまたはシールを使用することなくこれに到達し,それゆえウエハの圧力歪みを避けることができる。
図4(C)に示される他の実施例において,プラテンは図1と同様にその中心のガス導入ホール203aを有するが,このホールはプラテンのエッジに近接した周辺経路を占める外側溝203へ伸長するひとつまたはそれ以上の溝203b及び203cとリンクしている。リンクする溝203b及び203cは図示されるようにプラテンの表面下に配置されるか,その表面内の狭いチャネルから成る。いずれかのケースにおいて,それらは被処理体の下側の圧力分布を均一化し,再び図4(B)に示されるような実質的な接触圧力分布を生成しかつ最小の熱伝導流体圧力と同時に最小の被処理体破壊をもたらす圧力分路として機能し,効果的温度調整が処理チャンバ内への流体の極端な漏れを伴わずに達成される。
本発明の動作は以下の簡単な議論から理解できる。
平坦ウエハ及び平坦プラテンにより仕切られるガス導入リング203の外側の領域は以下の小さいコンダクタンスを有する。
C=2πrg2c/3l (1)
ここでg2はウエハとプラテンの間のミーンスクエアギャップであり,lはガス導入リング203からガス冷却領域の外側限界までの距離であり,rはガス導入リングの半径である。両表面は十分に平坦かつ平行で与えられているので,漏れはシールの必要がないほど十分に少ない。
この領域の冷却速度がMKSA単位で以下のように示される。
Q/A=0.33aγknc(Tw-Tp) (2)
ここでaは表面上のガスの適応係数であり,γkは1度1分子当たりの熱容量であり,kはボルツマン定数であり,nはガス密度であり,cは平均ガス流量であり,Twはウエハ温度であり,Tpはプラテン温度である。ガス分子がエネルギーを伝達しながら単位領域を普通にプラテン表面へ横切る流量は,0.33ncで与えられまた方程式(1)に表れるが,ガス導入流量Fをガス導入リング外側の環状空間を通る被処理体とプラテンの間のガス損失の流量に一致させることにより数式化される。F=PCでPはガス圧力を表すので,P=nkTからncは以下のように数式化される。
nc=3Fl/(2πrg2kT) (3)
ここでTは平均温度である。
冷却速度はガス流量に比例し,ガス導入リング3からプラテンエッジまでの距離に比例し,ミーンスクエアギャップに反比例する。ミーンスクエアギャップは被処理体及びプラテンの表面仕上げ及び平坦さにより決定される。おもしろいことには,これらの方程式において,ガス選択の効果はγを通じてのみ導入される。
この議論において2つの暗黙の仮定が為されているが,ひとつは熱伝導率を最大化するようにガスの平均自由行程がウエハとプラテンとの間のr.m.sギャップより大きいということ,もう一つはギャップが圧力により変化しないようにガス圧力が被処理体とプラテンの間の時間平均クランプ圧力より小さいということである。与えれた流量に対して生じたガス圧力はnに依存し,それは以下に示されるcに反比例する。
c2=2kT/M (4)
ここでMはガスの分子量である。したがって,与えられた流量に対し,より軽いガスがより低い圧力をウエハの下側に形成する。原子番号Aへの圧力の依存性は図5にプロットされている。必要な圧力を最小化するために,好適な熱伝導ガスは水素である。
図6に示されるように,平均自由行程もまた選択ガスの原子/分子番号Aに依存している。圧力及び平均自由行程の両方は,ここでは議論されないが,ガスの化学的性質にも影響される。平均自由行程の重要性は,もしそれがギャップより小さくて被処理体とプラテンの間でガスどうしの非常に多くの衝突が発生すると,冷却速度はかなり減少するという点にある。熱伝導効果におけるギャップと平均自由行程の間の関係の議論は上記2つの特許文献に見られる。
ウエハの周辺に導入されるガス熱伝導媒体は完全な円形ポートを通じて進入する必要はないことが理解できる。その代わり,複数の離散的な吸気ポート203a,203b....がプラテンの周辺領域の閉じた経路に沿って分布している。その場合に,ガスの拡散流は全体の分布プロファイルを滑らかにするよう作用する。それぞれの場合において,結果は,中央領域の実質的に一定かつ安定なガスプロファイル及びガス導入経路の外側の薄い周辺バンド領域を横切る圧力減少プロファイルを有する小さいが平衡なガス流である。
ガス導入リング内の圧力は均一であり,かつ局所的な締め付け力が無いので,圧力差またはピン止めエッジにより生じるそりが存在せず,被処理体は平坦なまま維持される。図4(B)に示されるように,圧力はガス導入リングからプラテンエッジへ滑らかに下降するため,冷却速度はガス導入リングを越えてウエハのエッジへ均一には伸びない。しかし,この圧力下降効果の結果は,ガス導入リングとプラテンエッジの間の距離lが小さいことを要求することによって,最小化される。方程式(1)に従えば,距離を減少させるためのこの形状は与えられる冷却速度を得るのに必要なガス流を増加させ,したがって真空チャンバ内の圧力を不所望に上昇させる。
しかし,小さいlでの大きな流量にも関わらず,出願人は,ウエハのエッジにおいて局所シールを使用することは必要ではないと決定し,すべての表面が平坦でありかつガス漏れ流量を小さくする適当な程度まで磨かれていることを与えた。例えば,直径200mmのシリコンウエハの有効r.m.s.粗さが20ミクロンで,ガス供給流量が0.25sccmで,l=33mmであるとき,γ=1.5のガスに対する冷却速度はウエハとプラテンの間で80℃の温度差に関し1.895W/cm2である。
図7に示される本発明の好適実施例において,高真空チャンバ内へのガス漏れは,吸気オリフィスと真空チャンバの間の拡散経路に沿ってガス掃気組立体を与えることによって,流量制御器205により供給されたガス流量のほんの一部までさらに減少される。図7に示されるように,プラテン201は高真空チャンバ(図示せず)内に配置され,被処理体(図示せず)はプラテンの平坦表面209上に載置される。ガスは第1環状溝203を通じて導入され,溝203から半径方向外側に配置された第2環状溝207(またはリング状ホール)が吸気口を囲む。好適に第2溝はプラテン201の外側エッジから約1または1/2ミリメートル内側に配置される。第2溝207は通路を通じて差動ポンピングを与える真空ポンプ206,すなわち吸気口203のマニホールド圧力より高くかつ周囲チャンバ内の真空レベルに近いか同等の真空レベルまでポンピングする真空ポンプ206へ接続されている。冷却または加熱ガスが間隙空間内で半径方向外側へ漏れるに従い,それがこの溝に入り,その大部分がさらに外側を回ることなくまたは高真空チャンバ内に漏れることなくポンプ206により装置から除去される。したがって,冷却ガスはウエハが処理される高真空環境を弱めることはない。ひとつまたはそれ以上の大きなコンジット207aが掃気溝207からポンプ206へ導かれ,溝207からポンプ206への経路のコンダクタンスが溝207から真空チャンバへのコンダクタンスより実質的に大きくなり,したがって外側拡散ルートに沿ったガスの掃気が好適である。
他の好適実施例が図8(A),(B)及び(C)に示されている。この装置のプラテンはウエハをプラテンに保持する圧力を与えるための静電クランプを含む。この方法は導電性の被処理体に対して適している。この技術は,J.Ballou, K.Carson, W.Frutiger, J.Greco及びR.Kaimにより“Proceedings of the Ion Implantation Technology Conference IIT ’94, Canada, Italy”内で説明されたものと類似している。
図8(A)の平面図で示されるように,プラテン250の被処理体接触面は,厚さが好適に0.15〜0.2mmである平坦ランプ仕上げされかつ磨かれた酸化アルミニウムなどから成る誘電体シート52を含み,それはその背面に付着された金属電極パターンにより画成される複数の有効充電領域を形成する。金属化背面パターンは,好適には1パーセントの4分の1以下だけ異なるほぼ等しい面積の一組の複数の電極51を与える。図示された実施例において,渦巻き形状の4つの電極51a,51b,51c,51dはそれぞれ約10mmの幅と1/4mm以下の厚さを有し,また数mmの小さい平面ギャップにより互いに電気的に絶縁され,プラテンの表面をひとまとめに覆う一組の近接配置された充電領域を画成する。有利にも,電極は長くかつ薄く,また各電極は他の電極領域と入り組む円形ウエハの分散領域に沿った経路をカバーする。しかし,渦巻き形状はそれ自身決まったものではなくそのような標準的な電極パターンを形成するための一つのオプションを表すに過ぎず,標準的な渦巻き形状からの逸脱もまた電極結合の調節のためまたは他の理由により為され得る。しかし,概して標準的な電極パターンは好適には大きくはない絶縁ブロック領域から成り,それは低次のウエハ共振のノード領域と対応せず,その結果クランプ駆動信号により生成された力の必要な変動が大きな機械的振動を誘導できない。図8(A)において,研磨された誘電体層52の平坦な導電性被処理体2は便宜的に消去されており,電極51が誘電体層52を通じて見え,典型的にそれは透明または半透明である。
図8(B)はプラテン250の垂直断面図であり,半径Rのウエハ2が,プラテン表面に載置され,中心から半径(R-1)の距離に配置されたガス導入吸気口203を覆い,またウエハエッジから距離δだけ内側に設置されたガス掃気溝207を覆う。図8(C)は断面の一部を拡大し階層構造をより明確にしたものである。被処理体2が示されている。そのプレート接触面2aは上記したようなミクロな粗さを有し,それがガスを通過させるひび割れのような開口2bである粗い天井を形成し,一方アルミニウム誘電層52は概して滑らかかつ平坦な面52aを有し,それは例えば光学的に研磨され,ガス充満空間の床として機能する。電極51はアルミニウム誘電層52と他の誘電層53にサンドイッチされ,それは層52と同様の硬い無機材料であるか,または有機ポリマーであって,プラテン250の下側ボディへ接着する。
この組立体の全頂端面は好適には0.0125mmまたはそれ以上に平坦にラップ処理され,好適には約8マイクロインチすなわち0.2mm r.m.s.より良く磨き仕上げされる。誘電層52は溝207により仕切られ,この溝の外壁の上側面もまたラップ処理されかつ研磨され,層52の頂端面と同じ平坦面の一部を形成する。
静電的にウエハを締め付けるべく誘電体と電極領域の面構成を動作させるため,台形波形の交流電圧が約30から60Hzの周波数で電極51a,51b,51c,及び51dに印加される。図9は一組の信号波形とこれらのクランプ動作信号に対する好適な位相関係を示す。各信号は上側電圧uと下側電圧lの間で上がり勾配及び下がり勾配する台形波形を周期的に繰り返し,各々は位相を除いて互いに同一であり,第1と第4及び第2と第3はそれぞれ逆位相であり,第1と第2信号は互いに1/4周期だけずれている。層52の上端面に載置されたウエハ2は渦巻き電極アーム51a〜51dにわたる4つのすべての誘電領域を充電するための共通電極として機能し,印加電圧は電極とウエハ表面との間に約5MV/mまたはそれ以上の電場を生成する。
ひとつの電極上の瞬間圧力Pはほぼ以下のように表される。
Figure 0004079992
ここで,ε0は真空誘電率,εは誘電材料の比誘電率(酸化アルミニウムに対して約9から10)Vは印加電圧,tは誘電体の厚さであるが,それは誘電体または締め付けられた被処理体の背面の表面粗さより非常に大きなディメンジョンであり,またこれらの各表面を横切る平坦さの大きなスケールの変化よりも非常に大きい。図9の5番目の線に示されるように,ウエハへの正味の締め付け力は全体として電圧切り替え間隔の間下がる。大きな変化を防止するため,電極の幅は小さく好適には10mm以下である。これが振動の振幅を減少させ,ウエハまたはプラテン内で励起された振動モードの共振周波数を生じさせ,空間及び時間変化クランプ力により導入された振動がプラテンまたは被処理体の機械的共振から完全に除去されることを保証する。
好適実施例において,渦巻きパターン形状の4つの電極が使用され,4つの位相のa.c.台形波形が印加される。電極は一対で構成され,一つの信号が上がり勾配のときもう一つは下がり勾配となる正確に逆位相の作用信号を受信する。さらに,誘電体の電極のひとつの領域が電圧変化を経験している間,もう一つの領域は最大の大きさの固定電圧により締め付けられ,絶縁領域内に落ち込みが発生する。本発明はまたより大きな偶数の電極及び位相による締め付けを意図している。偶数の位相を与えることによって,出願人はウエハの電圧が静電クランプ信号波形の印加により影響されないことを保証する。したがって,ウエハへの総電流は常にゼロなので,ウエハを接地する必要がない。四つの位相(位相1、位相2、位相3、位相4)のそれぞれをもつ電圧は四つの電極(電極1、電極2、電極3、電極4)のそれぞれに、例えば、電極1−電極2−電極4−電極3または電極1−電極3−電極4−電極2に印加され,これがウエハへ伝えられる力から動力(motoring)成分を除去し,一方向へウエハを動かそうとする進行波の発生を回避する。
上記構成は効果的な冷却とある程度有効な締め付けを保証するべく,ウエハとプラテンとの間の極端な接近に依存している。しかし,パーティクルが処理環境内に生じ,そのパーティクルがプラテン上に付着し,偶然に被処理体をプラテンから分離するような場合には,表面間隔の変化からある結果が生じる。最初に,被処理体からプラテンまでの距離が増加するため,熱伝導が方程式(2)及び(3)に従って非常に減少する。制御されたガス流に関し真空チャンバ内への流量は制御ガス流以上に上がらないし,過度の漏れに関しこの流量は所望のレベルの熱伝導を維持するには不適当であるため,差動ポンピングもまた所望の圧力をウエハの下に維持するのに有効ではなくなる。さらに,ウエハと電極背面との間の付加的な空気ギャップの導入は非常に容量を減少させるため,ウエハが誘電体と密接に接触していない時は静電クランプの電極への電流が減少する。出願人は後者の特徴を閾値検出器によりクランプ電流をモニターすることにより利用する。閾値を跨ぐとアラームをトリガーする。すなわち,この電流の自動計測がパーティクルの存在及び/または被処理体の不正確な配置を直接的に指示する。
これに反して従来の装置では,プラテン上にある異物により機械的クランプがウエハを破損し,または長くダメージを与えながらプラテンの表面でパーティクルにすりつぶし,また概してそのような問題は,多数のウエハが処理されかつ処理が観測可能な欠陥を生じた際に始めて認識されるものである。また,出願人のガス流の安全な結果と比較して,機械的クランプは有しないがガス圧力が流量調節されずまた自己制限しないような装置において,高真空チャンバへの漏れは処理器具を破壊し及び高真空ポンプをオーバーロードする悲劇的状況を引き起こす。
図10には本発明の他の実施例である装置400が示され,図4または図7に示されるのと類似の一組のプラテン401が表面領域の回転ディスクまたはドラム55上に載置され,それは,ウエハが処理ステーションを通過するに従い各ウエハにプラテン面に抗して求心力を与えるように,回転面に関して傾けられている。他に,一組の半径方向アーム(Robinsonらによる米国特許第4,733,091号)がそのような遠心力締め付け機構を与えるのに使用される。ウエハ接触面が回転軸の方へわずかに向くようにプラテンを傾斜させることにより,求心力の成分は大きくなり,処理用に回転する際に保持しながら被処理体をプラテンへ押し付けるよう機能する。締め付け圧力は以下のように表される。
P=ρtw2sinα (6)
ここでρは被処理体の密度であり,twはその厚さ(均一と仮定)であり,rは回転の平均半径であり,ωは角速度であり,αは半径と冷却/加熱面の間の角度である。本発明に従うガス熱伝導冷却用に十分なようにウエハを平坦に固定するには,妥当なドラム回転速度で角度は5度から10度で十分である。
ここに開示された発明は,主に円形半導体ウエハに適用されるものとして説明されたが,他の形状または他の材料,例えば,正方形または長方形のセンサーのような平坦基板,平坦パネルディスプレイ,及び真空処理の必要な他の形状または物質に対しても適用可能である。そのような場合に,周辺ガス導入チャネルまたはリング,及び掃気または差動ポンピングリングは,もしいずれかが使用されれば,概してそれぞれ非円形経路に配置される。主な操作は他の点において類似であり,非円形基板に対する分布した締め付け力の利点及び均一な熱伝導性能が,現存の商品のワークホルダ及び温度制御装置に対するより重要な改良点をもたらすと期待される。発明は開示されかつ説明されてきたが,請求の範囲に記載された発明の態様の範囲内で他の変更及び修正が可能であることは当業者の知るところである。

Claims (5)

  1. 平坦で薄い導電性被処理体を載置部材に締め付けるための装置であって、
    該載置部材は、誘電材料から成る少なくとも平坦な頂上層と、少なくとも4つの電極のパターンに分割された導電性中間層と、誘電体材料の下方層を有し、
    すべての電極は面積が等しくかつ渦巻き状であり、
    電極はそれぞれ異なる各位相をもつ締め付けのための交流電圧を受ける、ところの装置。
  2. 平坦で薄い導電性被処理体と載置部材との間に均一な圧力を与えるための静電クランピング方法において、前記載置部材は、平坦な誘電体から成る少なくとも第1層と、等しい面積の少なくとも4つの電極パターンに分割された金属から成る第2層と、誘電体から成る第3層とから成るところの方法であって、さらに、
    電極を順に互いに隣りあって伸長するよう配置する工程と、
    前記電極のそれぞれに、振幅が等しくかつ位相が等しくずれた交流電圧を与える工程と、
    被処理体を載置部材に締め付けるための静電クランプ力を導入するために、前記電圧を電極に接続する工程と、
    を含み、
    前記電極は、前記被処理体に誘導される振動の大きさを効果的に抑制するべく、渦巻状の経路に沿って対となって伸長するところの方法。
  3. 請求項2に記載の方法であって、
    前記配置する工程は、前記電極が渦巻き形状にパターン化された電極となり、それによって前記交流電圧により被処理体内に誘導される振動の大きさが減少する、
    ところの方法。
  4. 平坦で薄い導電性被処理体と載置部材との間に均一な圧力を与えるための静電クランピング方法において、前記載置部材は、平坦な誘電体から成る少なくとも第1層と、等しい面積の少なくとも4つの電極パターンに分割された金属から成る第2層と、誘電体から成る第3層とから成るところの方法であって、さらに、
    電極を順に互いに隣り合って伸長するよう配置する工程と、
    前記電極のそれぞれに、振幅が等しくかつ位相が等しくずれた交流電圧を与える工程と、
    被処理体を載置部材に締め付けるための静電クランプ力を導入するべく、前記電極へ前記電圧を接続する工程と、
    を含み、
    前記配置する工程で、前記電極が渦巻き形状にパターン化され、それによって前記交流電圧により被処理体内に誘導された振動の大きさが減少するところの方法。
  5. 請求項4に記載の方法であって、さらに被処理体が前記載置部材上に存在するのを検出し、かつ、その接触程度を決定するために、電極に与えられた電流をモニターする工程を含む方法。
JP51399296A 1994-10-17 1995-10-17 導電性被処理体を載置部材に締め付けるための装置及び静電クランピング方法 Expired - Lifetime JP4079992B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32376494A 1994-10-17 1994-10-17
US08/323,764 1994-10-17
PCT/US1995/013158 WO1996013058A2 (en) 1994-10-17 1995-10-17 Apparatus and method for temperature control of workpieces in vacuum

Publications (2)

Publication Number Publication Date
JPH11504760A JPH11504760A (ja) 1999-04-27
JP4079992B2 true JP4079992B2 (ja) 2008-04-23

Family

ID=23260617

Family Applications (1)

Application Number Title Priority Date Filing Date
JP51399296A Expired - Lifetime JP4079992B2 (ja) 1994-10-17 1995-10-17 導電性被処理体を載置部材に締め付けるための装置及び静電クランピング方法

Country Status (5)

Country Link
US (1) US5822172A (ja)
EP (1) EP0871843B1 (ja)
JP (1) JP4079992B2 (ja)
DE (1) DE69530801T2 (ja)
WO (1) WO1996013058A2 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5679404A (en) * 1995-06-07 1997-10-21 Saint-Gobain/Norton Industrial Ceramics Corporation Method for depositing a substance with temperature control
KR100290264B1 (ko) * 1997-01-22 2001-09-22 호소이 쇼지로 정전처크장치 및 그 제조방법
JPH10240356A (ja) * 1997-02-21 1998-09-11 Anelva Corp 基板処理装置の基板温度制御法と基板温度制御性判定法
US6321134B1 (en) 1997-07-29 2001-11-20 Silicon Genesis Corporation Clustertool system software using plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6113735A (en) * 1998-03-02 2000-09-05 Silicon Genesis Corporation Distributed system and code for control and automation of plasma immersion ion implanter
JP2000021964A (ja) 1998-07-06 2000-01-21 Ngk Insulators Ltd 静電チャックのパーティクル発生低減方法および半導体製造装置
FR2785737B1 (fr) * 1998-11-10 2001-01-05 Semco Engineering Sa Dispositif de maintien electrostatique
US6303411B1 (en) 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
KR100635845B1 (ko) * 1999-07-08 2006-10-18 램 리써치 코포레이션 정전기 척 및 그 제조 방법
WO2001026141A2 (en) * 1999-10-01 2001-04-12 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6362946B1 (en) * 1999-11-02 2002-03-26 Varian Semiconductor Equipment Associates, Inc. Electrostatic wafer clamp having electrostatic seal for retaining gas
US6538873B1 (en) 1999-11-02 2003-03-25 Varian Semiconductor Equipment Associates, Inc. Active electrostatic seal and electrostatic vacuum pump
JP2001284440A (ja) * 2000-03-16 2001-10-12 Asm Lithography Bv リソグラフ装置の基板ホルダ
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
WO2004061941A1 (ja) * 2002-12-26 2004-07-22 Mitsubishi Heavy Industries, Ltd. 静電チャック
US6998353B2 (en) 2001-11-05 2006-02-14 Ibis Technology Corporation Active wafer cooling during damage engineering implant to enhance buried oxide formation in SIMOX wafers
US6734117B2 (en) * 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US20040066601A1 (en) * 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
WO2004057650A1 (en) 2002-12-20 2004-07-08 Mattson Technology Canada, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US6934595B1 (en) * 2003-02-26 2005-08-23 National Semiconductor Corp. Method and system for reducing semiconductor wafer breakage
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
US7151658B2 (en) * 2003-04-22 2006-12-19 Axcelis Technologies, Inc. High-performance electrostatic clamp comprising a resistive layer, micro-grooves, and dielectric layer
US7352554B2 (en) * 2004-06-30 2008-04-01 Axcelis Technologies, Inc. Method for fabricating a Johnsen-Rahbek electrostatic wafer clamp
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
US7126091B1 (en) 2005-03-23 2006-10-24 Eclipse Energy Systems, Inc. Workpiece holder for vacuum processing
WO2008058397A1 (en) 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
TWI459851B (zh) * 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
EP2095946A1 (en) 2008-02-27 2009-09-02 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO A system for patterning flexible foils
US9036326B2 (en) * 2008-04-30 2015-05-19 Axcelis Technologies, Inc. Gas bearing electrostatic chuck
US9558980B2 (en) 2008-04-30 2017-01-31 Axcelis Technologies, Inc. Vapor compression refrigeration chuck for ion implanters
KR101610269B1 (ko) 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
JP2010123810A (ja) * 2008-11-20 2010-06-03 Ulvac Japan Ltd 基板保持装置及び基板温度制御方法
US8004817B2 (en) * 2009-06-18 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Method of platen fabrication to allow electrode pattern and gas cooling optimization
FR2974251B1 (fr) 2011-04-18 2013-11-01 Ecole Polytech Dispositif pour la gestion thermique d'un élément optique et procédé de gestion thermique associe.
JP5550602B2 (ja) * 2011-04-28 2014-07-16 パナソニック株式会社 静電チャックおよびこれを備えるドライエッチング装置
JPWO2013027584A1 (ja) * 2011-08-19 2015-03-19 株式会社アルバック 真空処理装置及び真空処理方法
JP5505667B2 (ja) * 2011-09-30 2014-05-28 Toto株式会社 交流駆動静電チャック
US10032601B2 (en) * 2014-02-21 2018-07-24 Varian Semiconductor Equipment Associates, Inc. Platen support structure
KR101877452B1 (ko) * 2016-05-19 2018-08-09 한국기계연구원 도전 물질의 패터닝 장치 및 방법
KR20210089375A (ko) * 2020-01-08 2021-07-16 주식회사 미코세라믹스 정전척
JP7183223B2 (ja) * 2020-08-28 2022-12-05 株式会社Screenホールディングス 基板処理装置および基板処理方法
US11417557B2 (en) * 2020-12-15 2022-08-16 Entegris, Inc. Spiraling polyphase electrodes for electrostatic chuck

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4261762A (en) 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4603466A (en) * 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US5103367A (en) * 1987-05-06 1992-04-07 Unisearch Limited Electrostatic chuck using A.C. field excitation
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
JPH03227554A (ja) * 1990-02-01 1991-10-08 Tokyo Electron Ltd 静電チャック
US5179498A (en) * 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
EP0460955A1 (en) * 1990-06-08 1991-12-11 Varian Associates, Inc. Clamping a workpiece utilizing polyphase clamping voltage
US5452177A (en) * 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
US5184398A (en) * 1991-08-30 1993-02-09 Texas Instruments Incorporated In-situ real-time sheet resistance measurement method
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5436790A (en) * 1993-01-15 1995-07-25 Eaton Corporation Wafer sensing and clamping monitor
US5444597A (en) * 1993-01-15 1995-08-22 Blake; Julian G. Wafer release method and apparatus
US5345999A (en) * 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
EP0635870A1 (en) * 1993-07-20 1995-01-25 Applied Materials, Inc. An electrostatic chuck having a grooved surface
US5474614A (en) * 1994-06-10 1995-12-12 Texas Instruments Incorporated Method and apparatus for releasing a semiconductor wafer from an electrostatic clamp

Also Published As

Publication number Publication date
US5822172A (en) 1998-10-13
WO1996013058A2 (en) 1996-05-02
EP0871843A2 (en) 1998-10-21
EP0871843A4 (ja) 1998-10-21
DE69530801T2 (de) 2004-03-11
WO1996013058A3 (en) 1996-06-27
DE69530801D1 (de) 2003-06-18
EP0871843B1 (en) 2003-05-14
JPH11504760A (ja) 1999-04-27

Similar Documents

Publication Publication Date Title
JP4079992B2 (ja) 導電性被処理体を載置部材に締め付けるための装置及び静電クランピング方法
US5452177A (en) Electrostatic wafer clamp
KR100625712B1 (ko) 웨이퍼 미립자 오염 정도가 낮은 정전 웨이퍼 클램프
US5914568A (en) Plasma processing apparatus
US5530616A (en) Electrostastic chuck
US5671119A (en) Process for cleaning an electrostatic chuck of a plasma etching apparatus
US6538873B1 (en) Active electrostatic seal and electrostatic vacuum pump
US7595972B2 (en) Clamp for use in processing semiconductor workpieces
EP0620953B1 (en) Electrostatic wafer clamp
JP4780410B2 (ja) 抵抗層、マイクロ溝及び誘電体層を含む高機能静電クランプ
TW200818311A (en) Heat conductive structure and substrate treatment apparatus
JP3113796B2 (ja) プラズマ処理装置
JP3225850B2 (ja) 静電吸着電極およびその製作方法
KR100752445B1 (ko) 가스를 보유하는 정전기 시일을 갖는 정전기 웨이퍼 클램프
US5789324A (en) Uniform gas flow arrangements
JPH04304941A (ja) ウエハー保持具の製造方法
TW202137823A (zh) 載置台及電漿處理裝置
JPS62193141A (ja) ウエハ−保持機構
JPH02135753A (ja) 試料保持装置
JP2000317761A (ja) 静電チャックおよび吸着方法
JP4602528B2 (ja) プラズマ処理装置
JPH0727959B2 (ja) ウエハ−保持機構
JPH05226289A (ja) 被処理体用載置装置及びそれを用いた処理装置
JPS63131519A (ja) ドライエツチング装置
JPH0786385A (ja) 基板保持方法及び装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060801

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061101

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070515

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070815

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070921

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080206

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110215

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120215

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130215

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140215

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term