JP3790238B2 - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
JP3790238B2
JP3790238B2 JP2003302974A JP2003302974A JP3790238B2 JP 3790238 B2 JP3790238 B2 JP 3790238B2 JP 2003302974 A JP2003302974 A JP 2003302974A JP 2003302974 A JP2003302974 A JP 2003302974A JP 3790238 B2 JP3790238 B2 JP 3790238B2
Authority
JP
Japan
Prior art keywords
layer
strained
channel
substrate
sige layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003302974A
Other languages
Japanese (ja)
Other versions
JP2004221530A (en
Inventor
宏治 臼田
信一 高木
勉 手塚
直治 杉山
敏典 沼田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2003302974A priority Critical patent/JP3790238B2/en
Publication of JP2004221530A publication Critical patent/JP2004221530A/en
Application granted granted Critical
Publication of JP3790238B2 publication Critical patent/JP3790238B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Description

本発明は、半導体チャネルが形成される層に歪みを加えることによって高性能の半導体素子を実現する技術に係わり、特に歪みSi層を用いた半導体装置に関する。   The present invention relates to a technique for realizing a high-performance semiconductor element by applying strain to a layer in which a semiconductor channel is formed, and particularly to a semiconductor device using a strained Si layer.

Si−LSI半導体素子、とりわけMOSFETの性能は、LSIの進歩と共に年々向上している。しかしながら、近年のプロセス技術の観点からはリソグラフィ技術の限界、素子物理の観点からは移動度の飽和などが指摘され、高性能化への困難度が増している。   The performance of Si-LSI semiconductor elements, especially MOSFETs, is improving year by year with the progress of LSIs. However, the limitations of lithography technology from the viewpoint of process technology in recent years and the saturation of mobility from the viewpoint of device physics are pointed out, and the degree of difficulty in achieving high performance is increasing.

Si−MOSFETの高性能化の指標の一つである電子移動度を向上させる方法として、素子形成のための活性層に歪みを印加する技術が注目されている。活性層に歪みを印加すると、そのバンド構造が変化し、チャネル中のキャリアの散乱が抑制されるため、移動度の向上が期待できる。具体的には、Si基板上にSiよりも格子定数の大きな材料からなる混晶層、例えばGe濃度20%の歪み緩和SiGe混晶層(以下、単にSiGe層と記す)を形成し、このSiGe層上にSi層を形成すると、格子定数の差によって歪みが印加された歪みSi層が形成される。このような歪みSi層を半導体デバイスのチャネルに用いると、無歪みSiチャネルを用いた場合の約1.76倍と大幅な電子移動度の向上を達成できることが報告されている(例えば、非特許文献1参照)。   As a method for improving electron mobility, which is one of the indexes for improving the performance of Si-MOSFETs, a technique for applying strain to an active layer for forming an element has attracted attention. When strain is applied to the active layer, the band structure is changed and scattering of carriers in the channel is suppressed, so that improvement in mobility can be expected. Specifically, a mixed crystal layer made of a material having a larger lattice constant than Si, for example, a strain relaxation SiGe mixed crystal layer (hereinafter simply referred to as a SiGe layer) having a Ge concentration of 20% is formed on the Si substrate. When a Si layer is formed on the layer, a strained Si layer to which strain is applied due to a difference in lattice constant is formed. It has been reported that when such a strained Si layer is used for a channel of a semiconductor device, a significant improvement in electron mobility can be achieved, which is about 1.76 times that when an unstrained Si channel is used (for example, non-patented). Reference 1).

本発明者らは、上述の歪みSiチャネルをSOI構造上に形成するため、埋め込み酸化層上の歪み緩和SiGe層上に歪みSi層を作製する方法でデバイス構造を実現した(例えば、非特許文献2参照)。この構造のトランジスタは、ショートチャネル効果(Short Channel Effect:SCE)の抑制が期待でき、高性能な素子を実現可能である。   In order to form the above-described strained Si channel on the SOI structure, the present inventors have realized a device structure by a method of forming a strained Si layer on a strain relaxation SiGe layer on a buried oxide layer (for example, non-patent document). 2). The transistor having this structure can be expected to suppress a short channel effect (SCE), and a high-performance element can be realized.

しかし、更なる微細化を進めると、チャネル周りに関連する移動度低下が生じることが予想される。例えば、微細化に伴ってソースとドレインの間隔が狭くなり、歪みSi層とその下のSiGe層の合計膜厚は、益々薄くせざるを得なくなる。将来的に、例えば35nmノードの素子を作製する場合、歪みSiチャネルの厚さは、経験的にゲート長の1/3〜1/4、即ち数nm程度と薄くなる。ここで、上記の歪みSi層の実現には、通常、歪みを印加するストレッサー層としてSiGe層が必須であり、チャネル膜厚がSiGe層の厚み分だけ厚くなる。このため、今後の微細化における歪みSiチャネルの薄膜化に対応することが困難である。   However, with further miniaturization, it is expected that the mobility reduction associated with the channel will occur. For example, with the miniaturization, the distance between the source and the drain becomes narrower, and the total film thickness of the strained Si layer and the SiGe layer below it has to be made thinner and thinner. In the future, when a device with a node of, for example, 35 nm is manufactured, the thickness of the strained Si channel is empirically reduced to 1/3 to 1/4 of the gate length, that is, about several nm. Here, in order to realize the above-described strained Si layer, a SiGe layer is usually essential as a stressor layer to which strain is applied, and the channel thickness is increased by the thickness of the SiGe layer. For this reason, it is difficult to cope with the thinning of the strained Si channel in the future miniaturization.

また、歪みSiチャネルがSiとは異なる半導体材料と接している場合、例えば歪みSiチャネルが下地のSiGe層と接していると、SiGe層から歪みSi層へGeの拡散が生じるおそれがある。これによって、歪みの変化,キャリア輸送の変化,或いは界面準位の増大などが、素子作製プロセス中やデバイス動作時に生じる可能性があり、素子特性劣化が懸念される。   Further, when the strained Si channel is in contact with a semiconductor material different from Si, for example, when the strained Si channel is in contact with the underlying SiGe layer, there is a possibility that Ge may diffuse from the SiGe layer to the strained Si layer. As a result, a change in strain, a change in carrier transport, or an increase in interface state may occur during the element manufacturing process or during device operation, and there is a concern about deterioration of element characteristics.

一方、従来のプラナー型MOS構造では、集積化に伴う電源電圧低減による駆動電流:Ionの低下が著しい。これは、高速化,高集積化の追求によってより顕著となるため、今後の回路設計において支障を来す場合があることが懸念される。
J.Welser, J.L.Hoyl,S.Tagkagi, and J.F.Gibbons, IEDM 94-373 T.Mizuno et al., 11-3, 2002 Symposia on VLSI Tech.
On the other hand, in the conventional planar type MOS structure, the drive current: Ion is significantly reduced due to the power supply voltage reduction accompanying the integration. This becomes more conspicuous as a result of the pursuit of higher speed and higher integration, and there is concern that it may hinder future circuit design.
J. Welser, JLHoyl, S. Tagkagi, and JFGibbons, IEDM 94-373 T. Mizuno et al., 11-3, 2002 Symposia on VLSI Tech.

このように従来、歪みSiチャネルを用いた半導体装置においては、Siチャネルの薄膜化が困難であり、これが今後の更なる微細化を妨げる要因となる。また、Siチャネルの下地層からのGeの拡散により、歪みの変化,キャリア輸送の変化,或いは界面準位の増大などが生じ、素子特性劣化を招く問題があった。   As described above, conventionally, in a semiconductor device using a strained Si channel, it is difficult to reduce the thickness of the Si channel, which hinders further miniaturization in the future. Further, the diffusion of Ge from the Si channel underlayer causes a change in strain, a change in carrier transport, an increase in interface state, and the like, resulting in a deterioration in device characteristics.

本発明は、上記事情を考慮して成されたもので、その目的とするところは、Siチャネルに十分な歪みを印加しつつ高性能な半導体素子を実現することができ、加えて歪みSiチャネルの薄膜化をはかると共に下地からのGeの拡散を防止することができ、今後の更なる微細化に対応し得る半導体装置を提供することにある。   The present invention has been made in view of the above circumstances, and the object of the present invention is to realize a high-performance semiconductor element while applying sufficient strain to the Si channel, and in addition to the strained Si channel. An object of the present invention is to provide a semiconductor device that can reduce the thickness of the film and prevent the diffusion of Ge from the base, and can cope with further miniaturization in the future.

上記課題を解決するために本発明は、次のような構成を採用している。   In order to solve the above problems, the present invention adopts the following configuration.

即ち本発明は、歪みSiチャネルを利用する半導体装置において、少なくとも表面に歪み緩和SiGe層を有し、該SiGe層の一部が島状に除去された基板と、前記SiGe層上に形成され、かつ一部が前記SiGe層の除去された部分を横断するように形成された歪みSi層と、前記歪みSi層の前記横断部分の一部にゲート絶縁膜を介して形成されたゲート電極と、前記歪みSi層に前記ゲート電極の位置に対応して形成されたソース・ドレイン領域と、を具備してなることを特徴とする。   That is, the present invention is a semiconductor device using a strained Si channel, formed on the SiGe layer, a substrate having a strain relaxation SiGe layer at least on the surface, a part of the SiGe layer removed in an island shape, And a strained Si layer formed so as to partially traverse the removed portion of the SiGe layer, and a gate electrode formed on a part of the transverse portion of the strained Si layer via a gate insulating film, The strained Si layer includes a source / drain region formed corresponding to the position of the gate electrode.

また本発明は、歪みSiチャネルを利用する半導体装置において、一部が島状に除去された歪み緩和SiGe層と、このSiGe層上に形成され、かつ一部が該SiGe層の除去された部分を横断するように形成された歪みSi層と、が複数組積層された基板と、前記基板の各歪みSi層の前記横断部分の一部にそれぞれゲート絶縁膜を介して形成されたゲート電極と、前記基板の各歪みSi層に前記ゲート電極の位置に対応してそれぞれ形成されたソース・ドレイン領域と、を具備してなることを特徴とする。   According to the present invention, in a semiconductor device using a strained Si channel, a strain relaxation SiGe layer partially removed in an island shape, and a portion formed on the SiGe layer and partially removed from the SiGe layer A substrate in which a plurality of sets of strained Si layers formed so as to cross the substrate, a gate electrode formed on a part of the transverse portion of each strained Si layer of the substrate via a gate insulating film, respectively And a source / drain region formed corresponding to the position of the gate electrode in each strained Si layer of the substrate.

ここで、本発明の望ましい実施態様としては次のものが挙げられる。   Here, preferred embodiments of the present invention include the following.

(1) 表面に歪み緩和SiGe層を有する基板は、Si基板上に絶縁層を介して歪み緩和SiGe層を形成したものである。   (1) A substrate having a strain-relaxed SiGe layer on the surface is a substrate in which a strain-relaxed SiGe layer is formed on an Si substrate via an insulating layer.

(2) 歪み緩和SiGe層と歪みSi層とを数組積層した基板は、Si基板上に絶縁層を介して形成されたものであること。   (2) A substrate in which several pairs of strain-relieving SiGe layers and strained Si layers are laminated is formed on an Si substrate via an insulating layer.

(3) ゲート電極は、歪みSi層の一部の表面側と裏面側の2箇所に設けられていること。   (3) The gate electrode is provided at two locations on the front and back sides of a part of the strained Si layer.

(4) ゲート電極は、歪みSi層の一部を上下左右から囲むように設けられていること。   (4) The gate electrode is provided so as to surround a part of the strained Si layer from the top, bottom, left and right.

(5) 歪み緩和SiGe層の除去された部分の長さは、歪みSi層のチャネル長方向に対して1μm以下であること。   (5) The length of the removed portion of the strain relaxation SiGe layer is 1 μm or less with respect to the channel length direction of the strain Si layer.

(6) 歪みSi層が、ソース・ドレイン領域により挟まれた架橋構造によって形成され、かつその架橋構造によって歪みを保持すること。   (6) The strained Si layer is formed by a crosslinked structure sandwiched between source / drain regions, and the strain is retained by the crosslinked structure.

(7) 歪みSi層の一部或いは全面が、ゲート絶縁材料にのみ接すること。   (7) A part or the entire surface of the strained Si layer is in contact with only the gate insulating material.

(8) 歪みSi層の厚みが200nm以下、望ましくは60nm以下であること。   (8) The strained Si layer has a thickness of 200 nm or less, preferably 60 nm or less.

(9) 歪みSi層に接するゲート絶縁材料に接するゲート電極材料が、複数に分割され、それぞれに任意のポテンシャル電圧を印加できること。   (9) The gate electrode material in contact with the gate insulating material in contact with the strained Si layer is divided into a plurality of parts, and an arbitrary potential voltage can be applied to each.

(10) 歪みSi層は、下地となるSiGe層のGe濃度xが、x<30%の範囲、典型的には30≦x<50%の範囲、望ましくは50≦x≦70%の範囲であること。   (10) In the strained Si layer, the Ge concentration x of the underlying SiGe layer is in the range of x <30%, typically in the range of 30 ≦ x <50%, preferably in the range of 50 ≦ x ≦ 70%. There is.

(11)歪みSi層は、該層を構成する結晶の格子定数に比べて|Δd|<±3%の範囲で異なり、典型的には|Δd|<±2.5%の範囲、望ましくは|Δd|<±2%の範囲で異なる層であること。   (11) The strained Si layer differs in the range of | Δd | <± 3% as compared to the lattice constant of the crystal constituting the layer, typically in the range of | Δd | <± 2.5%, preferably Different layers in the range of | Δd | <± 2%.

(12)歪みSi層は、エピタキシャル成長にて形成されていること。   (12) The strained Si layer is formed by epitaxial growth.

(13)歪みSi層は、MOS構造のFET素子のチャネル層として、単体トランジスタのn−MOSFET,p−MOSFETとして、或いはC−MOSFET構造を最小構成単位とする論理素子においてはn−MOSFET,p−MOSFETのいずれか、或いは両方に適用されること。   (13) The strained Si layer is used as a channel layer of a MOS-structure FET element, as a single-transistor n-MOSFET, p-MOSFET, or in a logic element having a C-MOSFET structure as a minimum unit, n-MOSFET, p -Applies to either or both MOSFETs.

本発明によれば、MOSFETのチャネルとなる歪みSi層直下の歪み緩和SiGe層を選択的に除去することにより、歪みSiチャネルの膜厚をより薄くすることができ、しかも歪みSiチャネルへのGeの拡散を未然に防止することができる。従って、Siチャネルに十分な歪みを印加しつつ高性能な半導体素子を実現することができ、今後の更なる微細化にも十分に対応することができる。また、結晶成長で一度にMOS構造を形成できるため、コスト低減はもとより、製造プロセスの大幅な簡略化と高性能化との両立も可能である。   According to the present invention, by selectively removing the strain relaxation SiGe layer immediately below the strained Si layer that becomes the channel of the MOSFET, the thickness of the strained Si channel can be further reduced, and the Ge to the strained Si channel can be reduced. Can be prevented in advance. Therefore, it is possible to realize a high-performance semiconductor element while applying sufficient strain to the Si channel, and it can sufficiently cope with further miniaturization in the future. Moreover, since the MOS structure can be formed at a time by crystal growth, not only the cost can be reduced but also the manufacturing process can be greatly simplified and the performance can be improved.

以下、本発明の詳細を図示の実施形態によって説明する。   The details of the present invention will be described below with reference to the illustrated embodiments.

(第1の実施形態)
図1は、本発明の第1の実施形態に係わるMOSFETの素子構造を示す断面図である。
(First embodiment)
FIG. 1 is a cross-sectional view showing the element structure of a MOSFET according to the first embodiment of the present invention.

Si基板10上には、絶縁層(Buried oxide layer:Box層)11が形成され、その上に歪み緩和SiGe層12が積層されている。このとき、SiGe層12の表面側のGe組成は、典型的には3%以上80%未満であり、20%以上50%未満が好ましい。SiGe薄膜は通常、CVD(Chemical Vapor Deposition)やMBE(Molecular Beam Epitaxy)プロセスなどにより形成する。SiGe層12をCVDで形成する場合は、Siの原材料ガスとGeの原材料ガスとを、例えば550℃に加熱したSi基板10上に導入して、例えば30nm厚みのSiGe層12を絶縁層11上に堆積する。   An insulating layer (Buried oxide layer: Box layer) 11 is formed on the Si substrate 10, and a strain relaxation SiGe layer 12 is laminated thereon. At this time, the Ge composition on the surface side of the SiGe layer 12 is typically 3% or more and less than 80%, and preferably 20% or more and less than 50%. The SiGe thin film is usually formed by a CVD (Chemical Vapor Deposition) or MBE (Molecular Beam Epitaxy) process. When the SiGe layer 12 is formed by CVD, a Si raw material gas and a Ge raw material gas are introduced onto the Si substrate 10 heated to, for example, 550 ° C., and the SiGe layer 12 having a thickness of, for example, 30 nm is formed on the insulating layer 11. To deposit.

SiGe層12は、該層中に転位を導入するなどして下地層との格子定数差による歪みを緩和せしめ、少なくとも該結晶の表面側では歪み緩和SiGeとなるように歪みを開放する必要がある。そのために、SiGe層12はGe濃度を基板表面に垂直な結晶成長方向に向かって変えることで、格子定数を基板に垂直な方向に変える場合がある。   The SiGe layer 12 needs to release strain so as to be strain-relaxed SiGe at least on the surface side of the crystal by relaxing dislocation due to a difference in lattice constant from the underlayer by introducing dislocations into the layer. . Therefore, the SiGe layer 12 may change the lattice constant in the direction perpendicular to the substrate by changing the Ge concentration in the crystal growth direction perpendicular to the substrate surface.

また、貼り合わせや濃縮法(T.Tezuka et al.,IEDM Tech. Dig.,946(2001))によって歪み緩和が実現されたSiGe層12を、酸化膜等の絶縁層11を介してSi基板10上に形成しても良い。その結果、SiGe層12は、後述する歪みSi層(歪みSiチャネル)へ歪みを印加するストレッサーとしての機能を有することができる。ここに、例えば張り合わせ法では、予め歪み緩和したSiGe層12を形成した基板をSi基板10の面に直接、或いは酸化膜を介して接着し、その後、SiGe層12を形成した支持基板を剥離することで、緩和SiGe層12だけを残す方法がある。   Further, the SiGe layer 12 in which the strain relaxation is realized by the bonding or concentration method (T. Tezuka et al., IEDM Tech. Dig., 946 (2001)) is applied to the Si substrate through the insulating layer 11 such as an oxide film. 10 may be formed. As a result, the SiGe layer 12 can have a function as a stressor that applies strain to a strained Si layer (strained Si channel) described later. Here, for example, in the bonding method, the substrate on which the SiGe layer 12 that has been subjected to strain relaxation in advance is bonded to the surface of the Si substrate 10 directly or via an oxide film, and then the support substrate on which the SiGe layer 12 is formed is peeled off. Thus, there is a method of leaving only the relaxed SiGe layer 12.

SiGe層12上に、MOSFETのチャネルとなる歪みSi層13が例えば8nmの厚さに形成され、さらにその上にゲート絶縁膜14を介してゲート電極15が形成されている。ゲート絶縁膜14は、通常は熱酸化によって形成されるが、この代わりにCVD酸化膜やTEOS膜を形成しても良い。酸化には、より低温での形成が可能なラジカル酸化や、レーザーアブレーションなども応用が可能である。ここで、ゲート絶縁膜14としては、SiO2 に限らず、近年注目されるHfO2 ,ZrO2 ,Al23 ,SiON,La2 3 、又はRe,Ru,Sr,Th,Tl,N,Na,Nbのいずれかを含む金属酸化膜、或いはそれらの組み合わせで成る組成のHigh-k材料でも適用可能である。 A strained Si layer 13 serving as a MOSFET channel is formed on the SiGe layer 12 to a thickness of, for example, 8 nm, and a gate electrode 15 is further formed on the SiGe layer 12 via a gate insulating film 14. Although the gate insulating film 14 is usually formed by thermal oxidation, a CVD oxide film or a TEOS film may be formed instead. For oxidation, radical oxidation that can be formed at a lower temperature, laser ablation, and the like can also be applied. Here, the gate insulating film 14 is not limited to SiO 2, and HfO 2 , ZrO 2 , Al 2 O 3 , SiON, La 2 O 3 , or Re, Ru, Sr, Th, Tl, N, which have recently attracted attention. , Na, and Nb, or a high-k material having a composition composed of a combination thereof.

また、例えば分子線エピタキシー法(MBE法)を用いて酸化セリウム膜(CeO2 )膜を形成して良い。この場合は、歪みSi層13上でエピタキシャル成長する絶縁膜であれば良く、Ce,Prなど酸化セリウム膜に代表される希土類酸化物が特に適している。 Further, for example, a cerium oxide film (CeO 2 ) film may be formed by using a molecular beam epitaxy method (MBE method). In this case, any insulating film that epitaxially grows on the strained Si layer 13 may be used, and rare earth oxides represented by cerium oxide films such as Ce and Pr are particularly suitable.

ゲート電極15は、例えば多結晶シリコンからなるもので、CVD等によって堆積され、所望パターンにパターニングすることによって形成される。そして、ゲート電極15をマスクにイオン注入することにより、歪みSi層13にソース領域16及びドレイン領域17が形成されている。   The gate electrode 15 is made of, for example, polycrystalline silicon, deposited by CVD or the like, and formed by patterning into a desired pattern. A source region 16 and a drain region 17 are formed in the strained Si layer 13 by ion implantation using the gate electrode 15 as a mask.

ソース・ドレイン領域16,17が形成された歪みSi層13上及びゲート電極15上には、層間絶縁膜18が形成されている。層間絶縁膜18にゲート電極15,ソース・ドレイン領域16,17とそれぞれコンタクトするためのコンタクトホールが形成され、これらのコンタクトホールを埋めるように配線19(19a,19b,19c)が形成されている。   On the strained Si layer 13 and the gate electrode 15 where the source / drain regions 16 and 17 are formed, an interlayer insulating film 18 is formed. Contact holes for making contact with the gate electrode 15 and the source / drain regions 16, 17 are formed in the interlayer insulating film 18, and wirings 19 (19a, 19b, 19c) are formed so as to fill these contact holes. .

ここまでの基本構成は、先に説明した非特許文献2と同様であるが、これに加えて本実施形態では、歪みSi層13の下部のSiGe層12が除去され、歪みSi層13のチャネル部の下部は空洞12’となっている。即ち、歪みSi層13はゲート長方向に沿ってストライプ状に加工され、その下部はSiGe層12が除去されている。そして、SiGe層12が除去され露出した表面には前記ゲート絶縁膜14が形成されている。   The basic configuration so far is the same as that of Non-Patent Document 2 described above. In addition, in this embodiment, the SiGe layer 12 below the strained Si layer 13 is removed, and the channel of the strained Si layer 13 is removed. The lower part of the part is a cavity 12 '. That is, the strained Si layer 13 is processed in a stripe shape along the gate length direction, and the SiGe layer 12 is removed under the strained Si layer 13. The gate insulating film 14 is formed on the exposed surface after the SiGe layer 12 is removed.

本実施形態は、歪みSiチャネル直下のストレッサーの除去プロセスの導入で実現される。具体的には、図1の構造において、歪みSi層13と歪み緩和SiGe層12とのエッチング速度の違いを利用したプロセスである。本発明者らは、Ge濃度が約28%の歪み緩和SiGe層とその上に直接形成された歪みSi層とでは、CDE(Chemical Dry Etching)による選択比がおよそ2:1であることを発見した。この考えを基に、歪みSi層13を形成後、歪みSi層13の上に形成したCVD絶縁膜をパターニングして、チャネル領域の周囲に開口部を設け、CDEにより選択エッチングすることで、歪みSi層13の直下の緩和SiGe層12を選択的に除去できることを見出した。   This embodiment is realized by introducing a process for removing a stressor directly under a strained Si channel. Specifically, in the structure of FIG. 1, this is a process using the difference in etching rate between the strained Si layer 13 and the strain relaxation SiGe layer 12. The present inventors have found that a selective ratio by CDE (Chemical Dry Etching) is approximately 2: 1 between a strain relaxation SiGe layer having a Ge concentration of about 28% and a strained Si layer directly formed thereon. did. Based on this idea, after forming the strained Si layer 13, the CVD insulating film formed on the strained Si layer 13 is patterned, an opening is provided around the channel region, and selective etching is performed by CDE. It has been found that the relaxed SiGe layer 12 directly under the Si layer 13 can be selectively removed.

その結果、例えば図1に示されるように、歪みSiチャネルの下方で絶縁層11の上方に空洞12’を形成することが可能になった。さらに、CDE直後の歪みSi層13は、後にソース・ドレイン領域となる両端の緩和SiGe領域によって支持されつつ、歪みを維持したまま保持することが初めて可能になった。   As a result, for example, as shown in FIG. 1, a cavity 12 'can be formed above the insulating layer 11 below the strained Si channel. Further, the strained Si layer 13 immediately after the CDE can be held for the first time while maintaining the strain while being supported by the relaxed SiGe regions at both ends which will later become the source / drain regions.

図2は、このときに用いるCVD絶縁膜からなるマスクパターンを示す図である。図中に実線で示す21はCVD絶縁膜の開口部であり、チャネル領域の両側にストライプ状に設けられている。また、破線で示す22は除去されたSiGe層12の境界であり、22の内側がSiGe層12の除去される領域である。上記の選択エピタキシャルはCDE条件、Si/SiGe膜厚、Ge組成などによって異なり、10:1若しくはそれ以上に大きくすることも可能である。   FIG. 2 is a diagram showing a mask pattern made of a CVD insulating film used at this time. Reference numeral 21 indicated by a solid line in the figure denotes an opening of the CVD insulating film, which is provided in stripes on both sides of the channel region. Further, 22 indicated by a broken line is the boundary of the removed SiGe layer 12, and the inside of the 22 is a region where the SiGe layer 12 is removed. The selective epitaxial depends on the CDE conditions, the Si / SiGe film thickness, the Ge composition, etc., and can be increased to 10: 1 or more.

図3は、歪みSiチャネルのパターンを示す斜視図であり、この図ではゲート電極部を含んで示してある。歪みSi層13がゲートストライプ方向(ゲート幅方向)と直交する方向にストライプ状に加工され、この歪みSi層13のストライプ部分(歪みSiチャネル)では下部の歪み緩和SiGe層12が除去されている。つまり、歪みSiチャネルは両端がSiGe層12で支持された状態になっている。   FIG. 3 is a perspective view showing a pattern of a strained Si channel. In this figure, the gate electrode portion is shown. The strained Si layer 13 is processed into a stripe shape in a direction perpendicular to the gate stripe direction (gate width direction), and the lower strain-relieving SiGe layer 12 is removed from the stripe portion (strained Si channel) of the strained Si layer 13. . That is, both ends of the strained Si channel are supported by the SiGe layer 12.

本プロセスを用いれば、歪みSiチャネルは、歪みが印加されつつも、下地のストレッサーと接することなく10nm以下の所望の厚さを実現することができるので、下地の歪み緩和SiGe層12からのGeの拡散、欠陥の伝搬、チャネル層の厚膜化、或いはGeが存在することによる絶縁膜/歪みチャネル層界面の界面準位低減などのチャネル劣化要因を排除しつつ、所望のチャネル薄膜化を実現可能である。なお、歪みSi層13の下部のSiGe層12を除去する領域は、あまり大きいと歪みSiチャネルの歪みが小さくなる。本発明者らの実験によれば、ゲート長方向に1μm以内であればSiチャネルの歪みを十分維持することができた。   By using this process, the strained Si channel can realize a desired thickness of 10 nm or less without being in contact with the underlying stressor while being applied with strain, so that the Ge from the strain-relieving SiGe layer 12 of the underlying layer can be realized. Achieves desired channel thinning while eliminating channel degradation factors such as diffusion of defects, propagation of defects, thickening of channel layer, or reduction of interface state at insulating film / strained channel layer interface due to presence of Ge Is possible. If the region where the SiGe layer 12 below the strained Si layer 13 is removed is too large, strain of the strained Si channel is reduced. According to the experiments by the present inventors, the strain of the Si channel can be sufficiently maintained within 1 μm in the gate length direction.

また、後述する実施形態にて詳細に記すが、歪みSiチャネルの周囲をゲートで囲むことによって、SCE効果などをやはり理想的に抑制することが可能となるため、3次元的にチャネルの電界を制御しつつ所望の薄膜チャネルが得られる点で、究極のチャネルが形成可能である。加えて、図に示したように、絶縁層11の上にFETが個別に形成できるため素子分離が十分になされており、クロストークなどの発生が生じ難いことも特徴である。また、歪みSi層13直下の歪み緩和SiGe層12の除去は、トランジスタ形成プロセスにおける熱工程によって生じるであろうGeの拡散、SiGe層12からの欠陥の伝搬などを避けるため、可能な範囲でプロセスの初期に行うべきである。   Further, as will be described in detail in an embodiment described later, since the SCE effect and the like can be ideally suppressed by surrounding the strained Si channel with a gate, the electric field of the channel is three-dimensionally controlled. The ultimate channel can be formed in that a desired thin film channel can be obtained while being controlled. In addition, as shown in the figure, since FETs can be individually formed on the insulating layer 11, element isolation is sufficiently performed, and occurrence of crosstalk or the like is difficult. Further, the removal of the strain-relaxed SiGe layer 12 immediately below the strained Si layer 13 is performed as far as possible in order to avoid the diffusion of Ge and the propagation of defects from the SiGe layer 12 that would be caused by a thermal process in the transistor formation process. Should be done early on.

なお、SiGe層12のエッチングは、溶液ケミカルエッチングでも可能である。また、絶縁層11としての酸化膜形成は、ドライ酸化膜、ウェット酸化膜などの熱酸化、ラジカル酸化、堆積(CVD)、溶液処理によるウェット酸化などの通常用いられる方法で形成できる。従って、Si基板10は、あくまで支持基板であり、熱履歴や化学処理などの素子作製プロセスに耐え得る材質で有ればその役割を妨げない。   The SiGe layer 12 can be etched by solution chemical etching. The oxide film as the insulating layer 11 can be formed by a commonly used method such as thermal oxidation such as dry oxide film or wet oxide film, radical oxidation, deposition (CVD), or wet oxidation by solution treatment. Therefore, the Si substrate 10 is a support substrate to the last, and does not hinder its role as long as it is a material that can withstand an element manufacturing process such as thermal history and chemical treatment.

このように本実施形態によれば、MOSFETのチャネルに歪みSi層13を用いていることから移動度の向上が期待できることに加え、歪みSi層13直下のSiGe層12を選択的に除去することにより、歪みSiチャネルの膜厚をより薄くすることができ、しかも歪みSiチャネルへのGeの拡散を未然に防止することができる。従って、Siチャネルに十分な歪みを印加しつつ高性能な半導体素子を実現することができる。   As described above, according to the present embodiment, since the strained Si layer 13 is used for the channel of the MOSFET, an improvement in mobility can be expected, and the SiGe layer 12 immediately below the strained Si layer 13 can be selectively removed. Thus, the thickness of the strained Si channel can be further reduced, and the diffusion of Ge into the strained Si channel can be prevented in advance. Therefore, a high-performance semiconductor element can be realized while applying sufficient strain to the Si channel.

即ち、歪みSiチャネルを架橋構造とすることによって、素子の微細化で課題となっていたSCE効果、浮遊容量、或いは下地のストレッサー層からもたらされる欠陥の伝搬、界面準位の増大などの問題を解決することができ、更にチャネルの更なる薄膜化による高速化をはかることができる。このため、高性能、高信頼性、かつ低消費電力MOSFET素子を実現することができる。   In other words, by forming a strained Si channel into a cross-linked structure, problems such as SCE effect, stray capacitance, propagation of defects caused by the underlying stressor layer, and increase in interface state, which have been problems in miniaturization of the device, are solved. It is possible to solve this problem, and it is possible to increase the speed by further reducing the thickness of the channel. Therefore, a high performance, high reliability, and low power consumption MOSFET device can be realized.

また、素子形成プロセス上のメリットとしては、歪みSiチャネルに接するゲート絶縁膜14とゲート電極15とを連続して、場合によっては低温で形成することが可能である。また、上記構造が所謂SOI構造上に形成されるため、低消費電力化にも効果がある。従って、従来は製造できなかったガラスなどの融点の低い基板上での素子形成、所謂ダマシン構造での素子の形成などが実現でき、高品質で高性能な半導体素子を、工程数削減による低コストでの形成が可能であると共に、製造された素子の低消費電力化も可能となる。また、ゲート絶縁膜にチャネル層とは異なる格子定数の結晶絶縁層を用いることにより、ゲート絶縁膜における誘電率を増大させることも可能である。   Further, as an advantage in the element formation process, the gate insulating film 14 and the gate electrode 15 that are in contact with the strained Si channel can be formed continuously at a low temperature in some cases. In addition, since the above structure is formed on a so-called SOI structure, it is effective in reducing power consumption. Therefore, it is possible to realize element formation on a substrate having a low melting point such as glass, which could not be manufactured conventionally, and so-called damascene structure, and to produce a high-quality and high-performance semiconductor element by reducing the number of processes. In addition, it is possible to reduce the power consumption of the manufactured element. In addition, by using a crystal insulating layer having a lattice constant different from that of the channel layer for the gate insulating film, the dielectric constant of the gate insulating film can be increased.

(第2の実施形態)
図4は、本発明の第2の実施形態に係わるMOSFETの素子構造を示す断面図である。なお、図1と同一部分には同一符号を付して、その詳しい説明は省略する。
(Second Embodiment)
FIG. 4 is a sectional view showing an element structure of a MOSFET according to the second embodiment of the present invention. In addition, the same code | symbol is attached | subjected to FIG. 1 and an identical part, and the detailed description is abbreviate | omitted.

本実施形態は、図1のゲート電極15が、歪みSiチャネルの周りを上下左右に360°囲むように配置されている例である。この場合のゲート電極15は、下地となるゲート絶縁膜14上に、シラン(SiH4 )やジシラン(Si2 6 )、ジクロクシラン(SiH2 Cl2 )や四塩化シラン(SiCl4 )などの化合物ガスなどのCVD原料を用いた成長法や、MBE法などを使用して、およそ400℃から1000℃の温度範囲の中で、典型的に500℃〜700℃と低温で形成することができる。この結晶成長の際には、不純物の同時添加が可能であり、p型のゲート電極形成にはBやSbが、またn型のゲート電極形成にはAsやPが付加される。 This embodiment is an example in which the gate electrode 15 of FIG. 1 is arranged so as to surround the strained Si channel 360 ° vertically and horizontally. In this case, the gate electrode 15 is formed by forming a compound such as silane (SiH 4 ), disilane (Si 2 H 6 ), dichlorosilane (SiH 2 Cl 2 ), or silane tetrachloride (SiCl 4 ) on the gate insulating film 14 as a base. Using a growth method using a CVD raw material such as a gas, an MBE method, or the like, it can be formed at a low temperature, typically 500 ° C. to 700 ° C., in a temperature range of about 400 ° C. to 1000 ° C. During this crystal growth, impurities can be added simultaneously, and B and Sb are added to form a p-type gate electrode, and As and P are added to form an n-type gate electrode.

また、予め形成したゲート電極15中にイオン注入によって例えばリンを4×1015cm-2注入し、引き続いておよそ500℃〜1100℃程度の温度で、典型的には950℃、1分以下程度の活性化アニールを施すことでも形成できる。しかしながら、このアニールプロセスが高温のため、歪みSi層13の歪み緩和や結晶欠陥の発生などが生じ、デバイス特性を劣化させる可能性がある。その点では、上記の例を含む気相成長法による低温ゲート電極形成と低温活性化の同時プロセスが、デバイス特性維持と工程数削減によるプロセスコスト低減の両立において有効である。 Further, for example, phosphorus is implanted at 4 × 10 15 cm −2 by ion implantation into the gate electrode 15 formed in advance, and subsequently at a temperature of about 500 ° C. to 1100 ° C., typically about 950 ° C. for about 1 minute or less. It can also be formed by performing activation annealing. However, since this annealing process is performed at a high temperature, strain relaxation of the strained Si layer 13 or generation of crystal defects may occur, which may deteriorate device characteristics. In that respect, the simultaneous process of low-temperature gate electrode formation and low-temperature activation by the vapor phase growth method including the above-described example is effective in achieving both device characteristics maintenance and process cost reduction by reducing the number of steps.

なお、歪みSiチャネルの周り全体にゲート電極15を形成するには、例えば次のようにすればよい。SiGe層12をエッチングする際に、前記図2に示すマスクの2つの開口部21間の距離をゲート幅程度に設定しておくことにより、歪みSi層13の下部にゲート幅程度の空洞を形成することができる。この後、多結晶シリコンをCVDで成長することにより、空洞内部に多結晶Si層を埋め込むと共に歪みSi層13上にも多結晶Si層を形成することができる。そして、空洞以外の上側の多結晶Si層はゲートパターンに加工すればよい。   In order to form the gate electrode 15 around the entire strained Si channel, for example, the following may be performed. When etching the SiGe layer 12, the distance between the two openings 21 of the mask shown in FIG. 2 is set to about the gate width, thereby forming a cavity about the gate width below the strained Si layer 13. can do. Thereafter, by growing polycrystalline silicon by CVD, a polycrystalline Si layer can be embedded in the cavity and a polycrystalline Si layer can also be formed on the strained Si layer 13. Then, the upper polycrystalline Si layer other than the cavity may be processed into a gate pattern.

このように本実施形態によれば、先の第1の実施形態と同様の効果が得られるのは勿論のこと、ゲート電極15で歪みSiチャネルの周り全体を挟み込むことによって、ソース・ドレイン間の電界分布を制御し、SCE効果を最大限に抑制することができる。その結果として、移動度増加による素子特性の更なる向上が期待できる。   As described above, according to the present embodiment, the same effect as that of the first embodiment can be obtained, and the entire area around the strained Si channel can be sandwiched between the gate electrode 15 and the source-drain region can be obtained. The electric field distribution can be controlled and the SCE effect can be suppressed to the maximum. As a result, further improvement in device characteristics due to an increase in mobility can be expected.

(第3の実施形態)
図5は、本発明の第3の実施形態に係わるMOSFETの素子構造を示す断面図である。なお、図1と同一部分には同一符号を付して、その詳しい説明は省略する。
(Third embodiment)
FIG. 5 is a sectional view showing the element structure of a MOSFET according to the third embodiment of the present invention. In addition, the same code | symbol is attached | subjected to FIG. 1 and an identical part, and the detailed description is abbreviate | omitted.

本実施形態は、図4の構造において歪みSiチャネルを囲むように形成したゲート電極を、上下の2層に形成したものである。即ち、歪みSi層13の上側にゲート絶縁膜14を介して上側のゲート電極15が形成され、歪みSi層の下側にゲート絶縁膜14を介して下側のゲート電極55が形成されている。ゲート電極15,55の製造方法は、第2の実施形態と実質的に同様である。   In the present embodiment, gate electrodes formed so as to surround a strained Si channel in the structure of FIG. 4 are formed in two upper and lower layers. That is, the upper gate electrode 15 is formed above the strained Si layer 13 via the gate insulating film 14, and the lower gate electrode 55 is formed below the strained Si layer via the gate insulating film 14. . The manufacturing method of the gate electrodes 15 and 55 is substantially the same as that of the second embodiment.

このような構成であれば、上下2層のゲート電極15,55で別個に配線を引き出し、それぞれ独立に電圧を印加することができる。これにより、歪みSiチャネルの反転層分布をより精密に制御できるため、より高性能なFET特性を実現することが可能である。   With such a configuration, the wiring can be drawn separately by the upper and lower gate electrodes 15 and 55, and a voltage can be applied independently. Thereby, since the inversion layer distribution of the strained Si channel can be controlled more precisely, higher performance FET characteristics can be realized.

なお、本実施形態では、上下に独立のゲート電極を形成した場合を示したが、例えば側面も別途制御することによって、形成プロセスが複雑にはなるものの、より理想的なチャネル層制御が可能になる。   In this embodiment, the case where independent gate electrodes are formed on the upper and lower sides is shown. However, by controlling the side surfaces separately, for example, the formation process becomes complicated, but more ideal channel layer control is possible. Become.

(第4の実施形態)
図6は、本発明の第4の実施形態に係わるMOSFETの素子構造を示す断面図である。なお、図1と同一部分には同一符号を付して、その詳しい説明は省略する。
(Fourth embodiment)
FIG. 6 is a sectional view showing an element structure of a MOSFET according to the fourth embodiment of the present invention. In addition, the same code | symbol is attached | subjected to FIG. 1 and an identical part, and the detailed description is abbreviate | omitted.

本実施形態は、図5の構造において歪み緩和SiGe層12を除去する領域を図1と同様に上側のゲート電極15の幅よりも広くし、下側のゲート電極65の幅(MOSFETとしてはゲート長)を上側のゲート電極15のそれよりも広くしたものである。   In the present embodiment, the region from which the strain relaxation SiGe layer 12 is removed in the structure of FIG. 5 is made wider than the width of the upper gate electrode 15 as in FIG. The length) is wider than that of the upper gate electrode 15.

このような構成であれば、先の第3の実施形態と同様の効果が得られるのは勿論のこと、例えば電極の引き出しなどのスペースが確保されるのでゲート加工プロセスが簡略化でき、より安価に上下の電極を形成することが可能となる。   With such a configuration, the same effects as those of the third embodiment can be obtained, and, for example, a space for drawing out the electrodes is secured, so that the gate processing process can be simplified and less expensive. It is possible to form upper and lower electrodes.

(第5の実施形態)
図7は、本発明の第5の実施形態に係わるMOSFETの素子構造を示す断面図である。なお、図1と同一部分には同一符号を付して、その詳しい説明は省略する。
(Fifth embodiment)
FIG. 7 is a sectional view showing an element structure of a MOSFET according to the fifth embodiment of the present invention. In addition, the same code | symbol is attached | subjected to FIG. 1 and an identical part, and the detailed description is abbreviate | omitted.

基本的な構成は図1と同様であるが、この実施形態ではソース・ドレイン領域16,17にシリサイド膜66,67が設けられている。即ち、ソース領域16の上面にシリサイド膜66が形成され、ドレイン領域17の上面にシリサイド膜67が形成されている。   Although the basic configuration is the same as that of FIG. 1, silicide films 66 and 67 are provided in the source / drain regions 16 and 17 in this embodiment. That is, a silicide film 66 is formed on the upper surface of the source region 16, and a silicide film 67 is formed on the upper surface of the drain region 17.

実際の構造ではチャネルの薄膜化が重要ではあるが、一方で、薄膜化はソース・ドレインの接合形成を困難にする。このため、チャネルの薄膜化に伴い接合抵抗が増大し、トランジスタ特性の劣化が生じる。そこで本実施形態では、ソース・ドレイン領域16,17の上面にシリサイド膜66,67を形成している。このような構成であれば、チャネルの薄膜化と低抵抗接合の組み合わせにてより高性能なデバイス特性が得られる。   In an actual structure, thinning the channel is important, but thinning makes it difficult to form a source / drain junction. For this reason, the junction resistance increases as the channel becomes thinner, and the transistor characteristics deteriorate. Therefore, in this embodiment, silicide films 66 and 67 are formed on the upper surfaces of the source / drain regions 16 and 17. With such a configuration, higher performance device characteristics can be obtained by a combination of channel thinning and low resistance junction.

なお、図には示さないが、シリサイド膜66,67を形成する代わりに、ソース・ドレイン領域16,17上にエピタキシャル成長などで低抵抗層を実現する、エレベーテッド・ソース・ドレイン形成技術を使用しても、高抵抗化を改善することができるので有効である。   Although not shown in the drawing, instead of forming the silicide films 66 and 67, an elevated source / drain formation technique that realizes a low resistance layer on the source / drain regions 16 and 17 by epitaxial growth or the like is used. However, it is effective because it can improve the resistance.

(第6の実施形態)
図8は、本発明の第6の実施形態に係わるMOSFETの素子構造を示す断面図である。なお、図1と同一部分には同一符号を付して、その詳しい説明は省略する。
(Sixth embodiment)
FIG. 8 is a sectional view showing an element structure of a MOSFET according to the sixth embodiment of the present invention. In addition, the same code | symbol is attached | subjected to FIG. 1 and an identical part, and the detailed description is abbreviate | omitted.

本実施形態は、図4の構成において、ソース・ドレイン及びゲート電極と接続するための配線の改良をはかったものである。即ち、電極或いは空洞部の外側に配線19(19a,19b,19c)が形成されている。   In the present embodiment, the wiring for connecting to the source / drain and gate electrodes is improved in the configuration of FIG. That is, the wiring 19 (19a, 19b, 19c) is formed outside the electrode or the cavity.

このような構成であれば、ソース・ゲート間、ドレイン・ゲート間の間隔が開くため寄生抵抗などの増大は避けられないが、実際の素子作成プロセスが格段に容易であり、より安価に素子を製造、提供することが可能になる。   With such a configuration, the increase in parasitic resistance is inevitable because the distance between the source and gate and between the drain and gate is increased, but the actual device creation process is much easier and the device can be manufactured at a lower cost. It becomes possible to manufacture and provide.

(第7の実施形態)
図9は、本発明の第7の実施形態に係わるMOSFETの素子構造を示す断面図である。なお、図1と同一部分には同一符号を付して、その詳しい説明は省略する。
(Seventh embodiment)
FIG. 9 is a sectional view showing an element structure of a MOSFET according to the seventh embodiment of the present invention. In addition, the same code | symbol is attached | subjected to FIG. 1 and an identical part, and the detailed description is abbreviate | omitted.

本実施形態は、第1の実施形態における歪み緩和SiGe層と歪みSi層とを複数組(例えば3組)積層したものである。   In the present embodiment, a plurality of (for example, three) sets of strain relaxation SiGe layers and strained Si layers in the first embodiment are stacked.

Si基板10上には、絶縁層11が形成され、その上に第1の実施形態と同様の構成のひずみ緩和SiGe層12と歪みSi層13のペア(a〜c)が3層積層されている。最上層の歪みSi層13c上には、ゲート絶縁膜14を介してゲート電極15が形成されている。このゲート絶縁膜14の製法,材料,厚さ等の条件は第1の実施形態と同様にすればよい。ゲート電極15は、例えば多結晶シリコンからなるもので、CVD等によって堆積され、所望パターンにパターニングすることによって形成される。そして、最上層の歪みSi層13cに関しては、ゲート電極15をマスクにイオン注入することにより、歪みSi層13cにソース領域16及びドレイン領域17が形成されている。   An insulating layer 11 is formed on the Si substrate 10, and three pairs (ac) of the strain relaxation SiGe layer 12 and the strained Si layer 13 having the same configuration as that of the first embodiment are stacked thereon. Yes. A gate electrode 15 is formed on the uppermost strained Si layer 13 c with a gate insulating film 14 interposed therebetween. Conditions such as the manufacturing method, material, and thickness of the gate insulating film 14 may be the same as those in the first embodiment. The gate electrode 15 is made of, for example, polycrystalline silicon, deposited by CVD or the like, and formed by patterning into a desired pattern. With respect to the uppermost strained Si layer 13c, the source region 16 and the drain region 17 are formed in the strained Si layer 13c by ion implantation using the gate electrode 15 as a mask.

ソース・ドレイン領域16,17が形成された最上層の歪みSi層13c上及びゲート電極15上には、層間絶縁膜18が形成されている。層間絶縁膜18にゲート電極15,ソース・ドレイン領域16,17とそれぞれコンタクトするためのコンタクトホールが形成され、これらのコンタクトホールを埋めるように配線19(19a,19b,19c)が形成されている。   An interlayer insulating film 18 is formed on the uppermost strained Si layer 13 c where the source / drain regions 16 and 17 are formed and on the gate electrode 15. Contact holes for making contact with the gate electrode 15 and the source / drain regions 16, 17 are formed in the interlayer insulating film 18, and wirings 19 (19a, 19b, 19c) are formed so as to fill these contact holes. .

SiGe層12は、第1の実施形態と同様に、歪みSi層13のチャネル部の下部が空洞12’となっている。即ち、歪みSi層13はゲート長方向に沿ってストライプ状に加工され、その下部はSiGe層12が除去されている。そして、SiGe層12が除去され露出した表面にはゲート絶縁膜14が形成されている。   As in the first embodiment, the SiGe layer 12 has a cavity 12 ′ below the channel portion of the strained Si layer 13. That is, the strained Si layer 13 is processed in a stripe shape along the gate length direction, and the SiGe layer 12 is removed under the strained Si layer 13. A gate insulating film 14 is formed on the exposed surface after the SiGe layer 12 is removed.

従って、上記のゲート絶縁膜14及びゲート電極15は、最上層の歪みSi層13cだけでなく、下部の歪みSi層13a,13bに対しても、それぞれを取り囲む様にゲート絶縁膜14、ゲート電極15の順に形成される。図9においては、各ゲート電極材が空洞部を埋めた構造を示しているが、完全に埋めずに空間があっても良い。   Therefore, the gate insulating film 14 and the gate electrode 15 surround not only the uppermost strained Si layer 13c but also the lower strained Si layers 13a and 13b so as to surround them. It is formed in the order of 15. Although FIG. 9 shows a structure in which each gate electrode material fills the cavity, there may be a space without being completely filled.

最上層の歪みSi層13c以外の層の歪みSi層13a,13bには、上記のゲート電極15をマスクにしたイオン注入法は適さない。しかしながら、上記のストライプ加工後の側壁を利用して(斜め)イオン注入をすることが可能である。その後、熱処理による拡散でソース・ドレイン領域を作製できる。   The ion implantation method using the gate electrode 15 as a mask is not suitable for the strained Si layers 13a and 13b other than the uppermost strained Si layer 13c. However, it is possible to implant ions (obliquely) using the side wall after the stripe processing. Thereafter, source / drain regions can be formed by diffusion by heat treatment.

また、SiGe層の形成には、p型電極を形成する場合はBやSbが、同様にn型の場合はAsやPなどの不純物を高濃度に含むことも可能である。この場合、ゲート絶縁膜が形成される領域は、予めSiGe層が除去されており、ソース・ドレイン領域形成のための拡散用熱処理を行うことが可能である。一方、本方式の場合は、不純物添加に用いる不純物の濃度によってはSiGe層の格子定数が変化する。この変化を抑える不純物濃度としては、1021cm-3であればよく、典型的には1020cm-3以下、望ましくは1019cm-3以下である。 In forming the SiGe layer, B or Sb can be included at a high concentration when a p-type electrode is formed, and As and P can be included at a high concentration when the p-type electrode is formed. In this case, the SiGe layer is previously removed from the region where the gate insulating film is formed, and diffusion heat treatment for forming the source / drain regions can be performed. On the other hand, in the case of this method, the lattice constant of the SiGe layer changes depending on the concentration of impurities used for impurity addition. The impurity concentration for suppressing this change may be 10 21 cm −3 , typically 10 20 cm −3 or less, and desirably 10 19 cm −3 or less.

本実施形態は、歪みSiチャネル直下のストレッサーの除去プロセスの導入で実現される。具体的には、最上層の歪みSi層13cまで形成した後に、歪みSi層13cの上に形成したCVD絶縁膜をパターニングして、チャネル領域の周囲に開口部を設け、CDEにより選択エッチングすることで、歪みSi層13の直下の緩和SiGe層12を選択的に除去できる。また、最下層の緩和SiGe層12aまで確実にエッチングするには、前記図府に示すマスクを用いてRIEでエッチングした後にCDEによるサイドエッチングを利用して歪みSi層13下の緩和SiGe層12を一部除去するようにすればよい。   This embodiment is realized by introducing a process for removing a stressor directly under a strained Si channel. Specifically, after forming up to the uppermost strained Si layer 13c, the CVD insulating film formed on the strained Si layer 13c is patterned, an opening is provided around the channel region, and selective etching is performed by CDE. Thus, the relaxed SiGe layer 12 immediately below the strained Si layer 13 can be selectively removed. In order to surely etch up to the lowermost relaxed SiGe layer 12a, the relaxed SiGe layer 12 under the strained Si layer 13 is etched using RIE using the mask shown in FIG. A part may be removed.

その結果、歪みSiチャネルの下方で絶縁層11の上方に空洞12’を形成することが可能になった。さらに、CDE直後のひずみSi層13は、後にソース・ドレイン領域となる両端の緩和SiGe領域によって支持されつつ、歪みを維持したまま保持することが初めて可能になった。   As a result, a cavity 12 'can be formed above the insulating layer 11 below the strained Si channel. Further, the strained Si layer 13 immediately after CDE can be held for the first time while being supported by the relaxed SiGe regions at both ends, which will later become source / drain regions, while maintaining the strain.

このように本実施形態によれば、先の第1の実施形態と同様に高性能、高信頼性、かつ低消費電力MOSFET素子を実現できるのは勿論のこと、MOSFETを縦積みすることになるので、より駆動能力の高いMOSFETを実現することができる。   As described above, according to this embodiment, it is possible to realize a high-performance, high-reliability, and low-power consumption MOSFET element as in the first embodiment, and the MOSFETs are stacked vertically. Therefore, a MOSFET with higher driving capability can be realized.

(第8の実施形態)
図10は、本発明の第8の実施形態に係わるMOSFETの素子構造を示す断面図である。なお、図9と同一部分には同一符号を付して、その詳しい説明は省略する。
(Eighth embodiment)
FIG. 10 is a sectional view showing the element structure of a MOSFET according to the eighth embodiment of the present invention. In addition, the same code | symbol is attached | subjected to FIG. 9 and an identical part, and the detailed description is abbreviate | omitted.

本実施形態は、第7の実施形態の構成において、第2の実施形態のように、ゲート電極15が、歪みSiチャネルの周りを上下左右に360°囲むように配置されている例である。本実施形態では、最上層のゲート絶縁膜14のソース・ドレイン間間隔と、その下部のゲート絶縁膜14のソース・ドレイン間間隔とが同じ寸法である場合を示す。この場合のゲート電極15は、第2の実施形態と同様の方法で形成することができる。   In the configuration of the seventh embodiment, the present embodiment is an example in which the gate electrode 15 is arranged so as to surround the strained Si channel 360 ° vertically and horizontally as in the second embodiment. In the present embodiment, a case is shown in which the distance between the source and drain of the uppermost gate insulating film 14 and the distance between the source and drain of the lower gate insulating film 14 have the same dimensions. In this case, the gate electrode 15 can be formed by the same method as in the second embodiment.

このように本実施形態によれば、先の第7の実施形態と同様の効果が得られるのは勿論のこと、ゲート電極15で歪みSiチャネルの周り全体を挟み込むことによって、ソース・ドレイン間の電界分布を制御し、SCE効果を最大限に抑制することができる。その結果として、移動度増加による素子特性の更なる向上が期待できる。   As described above, according to the present embodiment, the same effects as those of the previous seventh embodiment can be obtained, and by sandwiching the entire periphery of the strained Si channel with the gate electrode 15, the distance between the source and the drain can be increased. The electric field distribution can be controlled and the SCE effect can be suppressed to the maximum. As a result, further improvement in device characteristics due to an increase in mobility can be expected.

(第9の実施形態)
図11は、本発明の第9の実施形態に係わるMOSFETの素子構造を示す断面図である。なお、図9と同一部分には同一符号を付して、その詳しい説明は省略する。
(Ninth embodiment)
FIG. 11 is a sectional view showing an element structure of a MOSFET according to the ninth embodiment of the present invention. In addition, the same code | symbol is attached | subjected to FIG. 9 and an identical part, and the detailed description is abbreviate | omitted.

本実施形態も、第7の実施形態の構成において、第2の実施形態のように、歪みSiチャネルを囲むようにゲート電極15を形成したものである。ゲート電極15の製造方法は、第2の実施形態と実質的に同様である。但し、最上層のゲート絶縁膜14及びゲート電極15のソース・ドレイン間間隔は、下層のそれらに対して長くなっている。   In this embodiment, the gate electrode 15 is formed so as to surround the strained Si channel in the configuration of the seventh embodiment as in the second embodiment. The manufacturing method of the gate electrode 15 is substantially the same as in the second embodiment. However, the distance between the source and drain of the uppermost gate insulating film 14 and the gate electrode 15 is longer than that of the lower layer.

このような構成であっても、先の第1の実施形態と同様に高性能、高信頼性、かつ低消費電力MOSFET素子を実現できるのは勿論のこと、MOSFETを縦積みすることになるので、より駆動能力の高いMOSFETを実現することができる。   Even with such a configuration, it is possible to realize a high-performance, high-reliability, low-power-consumption MOSFET device as in the first embodiment, and the MOSFETs are stacked vertically. Thus, a MOSFET with higher driving capability can be realized.

(変形例)
なお、本発明は上述した各実施形態に限定されるものではない。実施形態ではSi基板上に絶縁層を介して歪み緩和SiGe層を形成したものを下地基板として用いたが、下地基板は必ずしもこの構成に限るものではなく、SiGeのバルク基板でも良いし、Si基板の代わりに他の半導体基板を用いたものであってもよい。つまり、下地基板としては少なくとも表面に歪み緩和SiGe層を有するものであればよい。また、歪み緩和SiGe層や歪みSi層の厚さ等は、仕様に応じて適宜変更可能である。
(Modification)
The present invention is not limited to the above-described embodiments. In the embodiment, a substrate in which a strain relaxation SiGe layer is formed on an Si substrate via an insulating layer is used as a base substrate. However, the base substrate is not necessarily limited to this configuration, and may be a SiGe bulk substrate or a Si substrate. Instead of this, another semiconductor substrate may be used. In other words, the base substrate may be any substrate having at least a strain relaxation SiGe layer on the surface. Further, the thickness of the strain relaxation SiGe layer and the strained Si layer can be appropriately changed according to the specifications.

具体的には、チャネルとなる歪みSi層は、SOI構造での寄生容量の低減などを低減し、空乏層の拡がり幅と同じ程度或いはそれよりも小さな幅とすることで、高性能化が期待できる。また、歪みSi形成時の下地SiGe層との格子定数の差:ミスマッチによる歪みSi層の緩和を避けるためには、やはり薄膜化が必須である。従って、例えば下地SiGe層のGe濃度が30%の場合は、歪みSi層の厚みは200nm以下とするのが望ましく、高性能化を実現するには60nm以下が必須である。   Specifically, the strained Si layer serving as the channel is expected to improve performance by reducing the parasitic capacitance in the SOI structure and reducing it to the same or smaller width than the depletion layer. it can. Further, in order to avoid the relaxation of the strained Si layer due to the difference in lattice constant from the underlying SiGe layer at the time of strained Si formation: mismatch, it is essential to reduce the thickness. Therefore, for example, when the Ge concentration of the underlying SiGe layer is 30%, the thickness of the strained Si layer is desirably 200 nm or less, and 60 nm or less is essential to achieve high performance.

また、歪みSiの下地となるSiGe層は、そのGe濃度が高いほど素子性能も上昇する。この傾向はGe濃度30%〜40%でn−MOSFETが飽和し、p−MOSFETでは更に50%位まで素子性能が上昇する。それ以上の濃度では現在検討されていないが、60%位までは素子性能は充分に見込める。従って、70%を上限にGe濃度を規定するのが妥当である。   In addition, the SiGe layer serving as the base of strained Si has higher device performance as the Ge concentration is higher. This tendency is that the n-MOSFET is saturated at a Ge concentration of 30% to 40%, and the device performance is further increased to about 50% in the p-MOSFET. At higher concentrations, it has not been studied at present, but the device performance can be sufficiently expected up to about 60%. Therefore, it is appropriate to define the Ge concentration with an upper limit of 70%.

その他、本発明の要旨を逸脱しない範囲で、種々変形して実施することができる。   In addition, various modifications can be made without departing from the scope of the present invention.

第1の実施形態に係わる半導体装置の素子構造を示す断面図。1 is a cross-sectional view showing an element structure of a semiconductor device according to a first embodiment. SiGe層をエッチングする際のマスクパターンを示す平面図。The top view which shows the mask pattern at the time of etching a SiGe layer. 歪みSiチャネルのパターンを示す斜視図。The perspective view which shows the pattern of a distortion Si channel. 第2の実施形態に係わる半導体装置の素子構造を示す断面図。Sectional drawing which shows the element structure of the semiconductor device concerning 2nd Embodiment. 第3の実施形態に係わる半導体装置の素子構造を示す断面図。Sectional drawing which shows the element structure of the semiconductor device concerning 3rd Embodiment. 第4の実施形態に係わる半導体装置の素子構造を示す断面図。Sectional drawing which shows the element structure of the semiconductor device concerning 4th Embodiment. 第5の実施形態に係わる半導体装置の素子構造を示す断面図。Sectional drawing which shows the element structure of the semiconductor device concerning 5th Embodiment. 第6の実施形態に係わる半導体装置の素子構造を示す断面図。Sectional drawing which shows the element structure of the semiconductor device concerning 6th Embodiment. 第7の実施形態に係わる半導体装置の素子構造を示す断面図。Sectional drawing which shows the element structure of the semiconductor device concerning 7th Embodiment. 第8の実施形態に係わる半導体装置の素子構造を示す断面図。Sectional drawing which shows the element structure of the semiconductor device concerning 8th Embodiment. 第9の実施形態に係わる半導体装置の素子構造を示す断面図。Sectional drawing which shows the element structure of the semiconductor device concerning 9th Embodiment.

符号の説明Explanation of symbols

10…Si基板
11…絶縁層(Box層)
12…歪み緩和SiGe層
12’…空洞部
13…歪みSi層
14…ゲート絶縁膜
15,55,65…ゲート電極
16…ソース領域
17…ドレイン領域
18…層間絶縁膜
19…電極
21…開口部
22…エッチング境界
66,67…シリサイド膜
10 ... Si substrate 11 ... Insulating layer (Box layer)
DESCRIPTION OF SYMBOLS 12 ... Strain relaxation SiGe layer 12 '... Cavity part 13 ... Strain Si layer 14 ... Gate insulating film 15,55,65 ... Gate electrode 16 ... Source region 17 ... Drain region 18 ... Interlayer insulating film 19 ... Electrode 21 ... Opening 22 ... Etching boundary 66, 67 ... Silicide film

Claims (7)

少なくとも表面に歪み緩和SiGe層を有し、該SiGe層の一部が島状に除去された基板と、
前記SiGe層上に形成され、かつ一部が前記SiGe層の除去された部分を横断するように形成された歪みSi層と、
前記歪みSi層の前記横断部分の一部にゲート絶縁膜を介して形成されたゲート電極と、
前記歪みSi層に前記ゲート電極の位置に対応して形成されたソース・ドレイン領域と、
を具備してなることを特徴とする半導体装置。
A substrate having at least a strain-relieving SiGe layer on the surface, and a part of the SiGe layer removed in an island shape;
A strained Si layer formed on the SiGe layer and partially formed across the removed portion of the SiGe layer;
A gate electrode formed on a part of the transverse portion of the strained Si layer via a gate insulating film;
Source / drain regions formed in the strained Si layer corresponding to the positions of the gate electrodes;
A semiconductor device comprising:
一部が島状に除去された歪み緩和SiGe層と、このSiGe層上に形成され、かつ一部が該SiGe層の除去された部分を横断するように形成された歪みSi層と、が複数組積層された基板と、
前記基板の各歪みSi層の前記横断部分の一部にそれぞれゲート絶縁膜を介して形成されたゲート電極と、
前記基板の各歪みSi層に前記ゲート電極の位置に対応してそれぞれ形成されたソース・ドレイン領域と、
を具備してなることを特徴とする半導体装置。
A plurality of strain-relieving SiGe layers partially removed in the form of islands and strained Si layers formed on the SiGe layer and partially formed so as to cross the removed portions of the SiGe layer A laminated substrate;
A gate electrode formed on a part of the transverse portion of each strained Si layer of the substrate via a gate insulating film,
Source / drain regions respectively formed corresponding to the positions of the gate electrodes in each strained Si layer of the substrate;
A semiconductor device comprising:
前記基板は、Si基板上に絶縁層を介して歪み緩和SiGe層を形成したものであることを特徴とする請求項1記載の半導体装置。   2. The semiconductor device according to claim 1, wherein the substrate is obtained by forming a strain relaxation SiGe layer on an Si substrate via an insulating layer. 前記基板は、Si基板上に絶縁層を介して、前記複数組の歪み緩和SiGe層と歪みSi層とが積層されたものであることを特徴とする請求項2記載の半導体装置。 The substrate, via an insulating layer on a Si substrate, a semiconductor device according to claim 2, wherein said plurality of sets of strain relaxed SiGe layer and a strained Si layer is characterized in that laminated. 前記ゲート電極は、前記歪みSi層の一部の表面側と裏面側の2箇所に設けられていることを特徴とする請求項1又は2記載の半導体装置。   3. The semiconductor device according to claim 1, wherein the gate electrode is provided at two locations on a front surface side and a back surface side of a part of the strained Si layer. 前記ゲート電極は、前記歪みSi層の一部を上下左右から囲むように設けられていることを特徴とする請求項1又は2記載の半導体装置。   The semiconductor device according to claim 1, wherein the gate electrode is provided so as to surround a part of the strained Si layer from above, below, left and right. 前記SiGe層の除去された部分の前記歪みSi層のチャネル長方向に沿った長さは、1μm以下であることを特徴とする請求項1又は2記載の半導体装置。 3. The semiconductor device according to claim 1 , wherein a length along the channel length direction of the strained Si layer of the removed portion of the SiGe layer is 1 μm or less.
JP2003302974A 2002-12-27 2003-08-27 Semiconductor device Expired - Lifetime JP3790238B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003302974A JP3790238B2 (en) 2002-12-27 2003-08-27 Semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002382262 2002-12-27
JP2003302974A JP3790238B2 (en) 2002-12-27 2003-08-27 Semiconductor device

Publications (2)

Publication Number Publication Date
JP2004221530A JP2004221530A (en) 2004-08-05
JP3790238B2 true JP3790238B2 (en) 2006-06-28

Family

ID=32911356

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003302974A Expired - Lifetime JP3790238B2 (en) 2002-12-27 2003-08-27 Semiconductor device

Country Status (1)

Country Link
JP (1) JP3790238B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7473943B2 (en) * 2004-10-15 2009-01-06 Nanosys, Inc. Gate configuration for nanowire electronic devices
JP4670524B2 (en) * 2005-07-22 2011-04-13 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP4726120B2 (en) * 2005-07-22 2011-07-20 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP4940797B2 (en) * 2005-10-03 2012-05-30 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP4867362B2 (en) * 2006-01-24 2012-02-01 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP5348916B2 (en) * 2007-04-25 2013-11-20 株式会社半導体エネルギー研究所 Semiconductor device
JP5350655B2 (en) * 2007-04-27 2013-11-27 株式会社半導体エネルギー研究所 Semiconductor device

Also Published As

Publication number Publication date
JP2004221530A (en) 2004-08-05

Similar Documents

Publication Publication Date Title
JP3543946B2 (en) Field effect transistor and method of manufacturing the same
US9368411B2 (en) Method for the formation of fin structures for FinFET devices
KR101020811B1 (en) Finfet having improved carrier mobility and method of its formation
JP4546021B2 (en) Insulated gate field effect transistor and semiconductor device
JP4058751B2 (en) Method for manufacturing field effect transistor
US9461174B2 (en) Method for the formation of silicon and silicon-germanium fin structures for FinFET devices
KR101065049B1 (en) Method of fabricating a strained finfet channel
CN102593118B (en) Semiconductor device and method of manufacturing the same
JP2007536736A (en) Si-Ge based semiconductor devices with high stress liners for improved channel carrier mobility
JP2002237590A (en) Mos field effect transistor
JP3597831B2 (en) Field effect transistor and method of manufacturing the same
JP2000031491A (en) Semiconductor device, its manufacture, semiconductor substrate and its manufacture
JP2004063780A (en) Method of manufacturing semiconductor device
KR20050110081A (en) Semiconductor device comprising finfet and fabricating method thereof
JP3790238B2 (en) Semiconductor device
JP2008085357A (en) Manufacturing method of fet
JP2004128254A (en) Semiconductor device
JP4322706B2 (en) Manufacturing method of semiconductor device
JP6022781B2 (en) Semiconductor device and manufacturing method thereof
JP3901957B2 (en) Semiconductor substrate manufacturing method and semiconductor device manufactured by the method
JP2009016423A (en) Semiconductor device and manufacturing method thereof
JP2008160145A (en) Insulated gate fet and semiconductor device
JP2013131616A (en) Semiconductor device manufacturing method and semiconductor device
KR100569708B1 (en) Semiconductor device and method of manufacturing the same
CN113540246A (en) Stacked nanowire/chip device and preparation method thereof

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060306

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060328

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060330

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100407

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100407

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110407

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130407

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140407

Year of fee payment: 8