JP2008085357A - Manufacturing method of fet - Google Patents

Manufacturing method of fet Download PDF

Info

Publication number
JP2008085357A
JP2008085357A JP2007288260A JP2007288260A JP2008085357A JP 2008085357 A JP2008085357 A JP 2008085357A JP 2007288260 A JP2007288260 A JP 2007288260A JP 2007288260 A JP2007288260 A JP 2007288260A JP 2008085357 A JP2008085357 A JP 2008085357A
Authority
JP
Japan
Prior art keywords
gate electrode
semiconductor
dummy gate
semiconductor layer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007288260A
Other languages
Japanese (ja)
Inventor
Risho Ko
俐昭 黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2007288260A priority Critical patent/JP2008085357A/en
Publication of JP2008085357A publication Critical patent/JP2008085357A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a manufacturing method of vertical FET optimal for manufacturing a tiny vertical FET constituting LSI, especially for manufacturing double-gate vertical FET having a gate electrode at both sides of a semiconductor layer. <P>SOLUTION: The manufacturing method of the transistor forms a gate electrode 5 through a gate insulating film to cross over a semiconductor region having a rectangular section, subsequently covers a position lower than the upper end of the semiconductor region having at least a substantially rectangular section in the gate electrode 5 with an insulating film, exposes at least a part of the region not covered by the gate electrode in the side of the semiconductor region having the rectangular section, and selectively grows the semiconductor on the exposed side of the semiconductor having the substantially rectangular section. Consequently, the selectively grown semiconductor is made as a source/drain region or a source/drain extension region by injecting impurities into the selectively grown semiconductor at the same time of or in the late stage of the selective growth. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、電界効果型トランジスタの製造方法に関するものである。   The present invention relates to a method for manufacturing a field effect transistor.

シリコンウェハなどの基板上に設けられた絶縁層上に形成される電界効果型トランジスタにおいて、基板上面に対してほぼ垂直な平面に、主たるチャネルが形成される構造の電界効果型トランジスタは、長谷川によって特開昭64−8670号公報(第4図)に、間によって特開昭64−27270号公報(第2図)に、久本によって特開平2−263473号公報(第1図)に、八木下によって特開平10−93093号公報にそれぞれ開示されている。前記公報に開示された構造の電界効果型トランジスタを図50を用いて説明する。なお、図50は特開昭64−8670号公報の第4図に相当するものである。
特開昭64−8670号 特開昭64−27270号 特開平2−263473号 特開平10−93093号 特開昭64−8670号
In a field effect transistor formed on an insulating layer provided on a substrate such as a silicon wafer, a field effect transistor having a structure in which a main channel is formed in a plane substantially perpendicular to the upper surface of the substrate is Japanese Patent Laid-Open No. 64-8670 (FIG. 4), Japanese Patent Laid-Open No. 64-27270 (FIG. 2), Japanese JP-A-10-93093 discloses each. The field effect transistor having the structure disclosed in the above publication will be described with reference to FIG. FIG. 50 corresponds to FIG. 4 of Japanese Patent Laid-Open No. 64-8670.
JP-A 64-8670 JP-A 64-27270 JP-A-2-263473 JP-A-10-93093 JP-A 64-8670

図50に示すように半導体基板101上に絶縁体102が設けられ、絶縁体102上に直方体の半導体層103が設けられる。半導体層103の表面にはゲート絶縁膜104が設けられ、表面にゲート絶縁膜104が形成された半導体層103を跨いで、ゲート電極105が設けられる。ここで、半導体層103の表面とは、半導体層103の上面及び側面をいう。   As illustrated in FIG. 50, an insulator 102 is provided over a semiconductor substrate 101, and a rectangular semiconductor layer 103 is provided over the insulator 102. A gate insulating film 104 is provided on the surface of the semiconductor layer 103, and a gate electrode 105 is provided across the semiconductor layer 103 on which the gate insulating film 104 is formed. Here, the surface of the semiconductor layer 103 refers to the upper surface and side surfaces of the semiconductor layer 103.

ゲート電極105を挟んだ両側の半導体層103は高濃度の不純物が導入されたソース/ドレイン領域を構成している。図50に示す例では、直方体の半導体層103のうち、ゲート電極105に対して手前側と奥側に位置する部分が、高濃度の不純物を含むソース/ドレイン領域を構成している。適当なゲート電圧をゲート電極105に印加することにより、直方体の半導体層103の側面に主たるチャネルが形成される。半導体層103の上面にチャネルが形成されても、そのチャネル幅が狭いために、キャリアの伝導には支配的でない。通常の半導体層103の高さ(図50中のa)は、チャネル電流が流れる方向に垂直な面内における直方体の幅(図50中のb)よりも大きい。図50において、半導体層103の幅(図50のb)を、両側面のチャネルから半導体層103の内部に向かって形成される空乏層幅の合計よりも小さくすることで、動作特性に優れた完全空乏化型のMOSFETが得られる。   The semiconductor layers 103 on both sides of the gate electrode 105 constitute source / drain regions into which high-concentration impurities are introduced. In the example shown in FIG. 50, portions of the rectangular semiconductor layer 103 located on the near side and the far side with respect to the gate electrode 105 constitute source / drain regions containing high-concentration impurities. By applying an appropriate gate voltage to the gate electrode 105, a main channel is formed on the side surface of the rectangular parallelepiped semiconductor layer 103. Even if a channel is formed on the upper surface of the semiconductor layer 103, the channel width is narrow, so that it is not dominant in carrier conduction. The height of the normal semiconductor layer 103 (a in FIG. 50) is larger than the width of the rectangular parallelepiped (b in FIG. 50) in the plane perpendicular to the direction in which the channel current flows. In FIG. 50, the width of the semiconductor layer 103 (b in FIG. 50) is made smaller than the total width of depletion layers formed from the channels on both sides toward the inside of the semiconductor layer 103, so that the operation characteristics are excellent. A fully depleted MOSFET is obtained.

一般的にチャネルが形成される半導体層の両側にゲートを持つ完全空乏化型のMOSFETは、短チャネル効果の抑制に優れるという特徴を持つ。図50に示す従来例の電界効果型トランジスタを製造する製造方法は、まず最初に絶縁体102上に直方体の半導体層103が配置される構造を何らかの方法により形成し、続いて半導体層103の表面を熱酸化してゲート絶縁膜104を設け、続いてゲート電極材料を堆積した後、これをエッチングにより加工し、ゲート電極105を形成するという製造工程を経て、図50に示す従来例の電界効果型トランジスタを得ている。   In general, a fully depleted MOSFET having gates on both sides of a semiconductor layer in which a channel is formed is characterized by excellent suppression of the short channel effect. In the manufacturing method for manufacturing the field effect transistor of the conventional example shown in FIG. 50, first, a structure in which the rectangular semiconductor layer 103 is disposed on the insulator 102 is formed by some method, and then the surface of the semiconductor layer 103 is formed. Is subjected to thermal oxidation to provide a gate insulating film 104, and subsequently a gate electrode material is deposited and then processed by etching to form a gate electrode 105, whereby the field effect of the conventional example shown in FIG. Type transistor is obtained.

しかしながら図50に示す従来例の縦型電界効果型トランジスタを製造する製造方法では、ゲートサイドウォール(ゲート側壁)の形成が困難であるという問題がある。   However, in the manufacturing method for manufacturing the conventional vertical field effect transistor shown in FIG. 50, there is a problem that it is difficult to form a gate sidewall (gate sidewall).

縦型でない通常のMOSFETでは、ソース/ドレインの形成前に、ゲートの側面に絶縁膜の側壁(以下、ゲートサイドウォールという)を設ける。ここで、ゲートサイドウォールは、ソース/ドレイン領域に対して加工を行う工程、例えば、ソース/ドレイン領域への不純物の導入、ソース/ドレイン領域のシリサイド化、ソース/ドレインへの半導体のエピタキシャル成長,選択成長等の工程において、ゲート電極及びチャネル領域を保護することが目的である。   In a normal MOSFET that is not a vertical type, an insulating film side wall (hereinafter referred to as a gate side wall) is provided on the side surface of the gate before forming the source / drain. Here, the gate sidewall is processed in the source / drain region, for example, introduction of impurities into the source / drain region, silicidation of the source / drain region, epitaxial growth of the semiconductor into the source / drain, selection The purpose is to protect the gate electrode and the channel region in a process such as growth.

縦型でない通常のMOSFETにおいて、ゲートサイドウォールを形成する際には、チャネルが形成される平面上にゲート電極を形成した後、全面に絶縁膜を一定の厚さで堆積し、その堆積した絶縁膜に対してRIE(リアクティブイオンエッチング)等により異方的にエッチングを施し、ゲート電極の側面を除いて絶縁膜を除去するという工程を実施することにより、ゲート電極の側面にゲートサイドウォール(ゲート側壁)を設ける。   When forming a gate sidewall in a normal non-vertical MOSFET, after forming a gate electrode on a plane where a channel is formed, an insulating film is deposited on the entire surface with a certain thickness, and the deposited insulation is formed. The film is anisotropically etched by RIE (reactive ion etching) or the like, and the insulating film is removed except for the side surface of the gate electrode. Gate sidewalls).

ゲートサイドウォールが設けられると、ゲート電極の側面はゲートサイドウォール(絶縁膜)に保護され、一方、ゲート電極もゲートサイドウォールのいずれもが設けられない位置では、半導体の表面が露出するという構造が得られる。   When the gate sidewall is provided, the side surface of the gate electrode is protected by the gate sidewall (insulating film), while the semiconductor surface is exposed at a position where neither the gate electrode nor the gate sidewall is provided. Is obtained.

このような工程は、凹凸のある構造上に、膜を堆積したのち、その堆積した膜に適当な条件で異方性エッチングを施すと、凹凸のある構造の突起部の側面にだけ、その堆積した膜を残存させることができる、すなわち凹凸のある構造の突起部の側面に側壁が形成される、という原理に基づくものである。   In such a process, after depositing a film on an uneven structure and then subjecting the deposited film to anisotropic etching under appropriate conditions, the deposition is performed only on the side surface of the protrusion of the uneven structure. This is based on the principle that the formed film can be left, that is, the side wall is formed on the side surface of the projection having the uneven structure.

しかし、図50に示す縦型トランジスタ構造に対して、縦型でない通常のMOSFETにおける場合と同じ方法によってゲートサイドウォールを設けようとすると、図50に示す縦型トランジスタ構造では、半導体層103自体が突起した形状を呈しているために、半導体層103の側面にも側壁が形成される。この場合、所期の構造である、ゲート電極5の側面だけに側壁が形成され、ゲート電極5もゲートサイドウォール22のいずれもが設けられない位置では半導体層103の表面(図50に示す縦型トランジスタでは半導体層103の側面が相当)が露出するという、構造を得られない。   However, if a gate sidewall is provided by the same method as that in the case of a normal non-vertical MOSFET with respect to the vertical transistor structure shown in FIG. 50, in the vertical transistor structure shown in FIG. Side walls are also formed on the side surfaces of the semiconductor layer 103 because of the protruding shape. In this case, a side wall is formed only on the side surface of the gate electrode 5 and the surface of the semiconductor layer 103 (the vertical structure shown in FIG. 50) at a position where neither the gate electrode 5 nor the gate side wall 22 is provided. In the case of a type transistor, a structure in which the side surface of the semiconductor layer 103 is exposed) cannot be obtained.

したがって、チャネル面が基板に対してほぼ垂直なトランジスタの製造方法において、ゲートの側面だけに側壁を持ち、ゲート電極もゲートサイドウォールのいずれもが設けられない位置では、半導体層(図50に示す縦型トランジスタでは半導体層103の側面が相当)の表面が露出するという、電界効果型トランジスタの製造方法が必要となる。   Therefore, in a method for manufacturing a transistor in which the channel surface is substantially perpendicular to the substrate, the semiconductor layer (shown in FIG. 50) has a side wall only on the side surface of the gate and is provided with neither a gate electrode nor a gate side wall. In the case of a vertical transistor, a method for manufacturing a field effect transistor is necessary in which the surface of the semiconductor layer 103 is exposed).

本発明の目的は、LSIを構成する微細な縦型電界効果型トランジスタ、特に半導体層の両側にゲート電極を有するダブルゲート縦型電界効果型トランジスタの製造方法として最適な縦型電界効果型トランジスタの製造方法を提供することにある。   An object of the present invention is to provide a vertical field effect transistor that is optimal as a method for manufacturing a fine vertical field effect transistor constituting an LSI, particularly a double gate vertical field effect transistor having gate electrodes on both sides of a semiconductor layer. It is to provide a manufacturing method.

前記目的を達成するため、本発明に係る縦型電界効果型トランジスタの製造方法は、矩形断面を持つ半導体領域を跨ぐように、ゲート絶縁膜を介してゲート電極を設け、続いてゲート電極のうち、少なくとも前記略矩形の断面を持つ半導体領域の上端よりも低い位置を絶縁膜で覆うとともに、前記略矩形の断面を持つ半導体の側面のうちゲート電極に覆われない領域の少なくとも一部を露出させ、前記露出した前記略矩形の断面を持つ半導体の側面に、半導体を選択的に成長させ、選択成長と同時または選択成長後に選択的に成長させた前記半導体に不純物を導入することにより、選択的に成長させた前記半導体をソース/ドレイン領域もしくはソース/ドレインエクステンション領域となすものである。   In order to achieve the above object, a method of manufacturing a vertical field effect transistor according to the present invention includes providing a gate electrode through a gate insulating film so as to straddle a semiconductor region having a rectangular cross section, and subsequently out of the gate electrode. And covering at least a position lower than the upper end of the semiconductor region having the substantially rectangular cross section with an insulating film and exposing at least a part of the side surface of the semiconductor having the substantially rectangular cross section that is not covered with the gate electrode. The semiconductor is selectively grown on a side surface of the exposed semiconductor having the substantially rectangular cross section, and an impurity is selectively introduced by introducing impurities into the selectively grown semiconductor simultaneously with or after the selective growth. The semiconductor grown in this way is used as a source / drain region or a source / drain extension region.

また本発明に係る電界効果型トランジスタの製造方法は、略矩形の断面を持つ半導体領域を跨ぐように、ダミーゲート電極を設け、続いてダミーゲート電極のうち、少なくとも前記略矩形の断面を持つ半導体領域の上端よりも低い位置を絶縁膜で覆うとともに、前記略矩形の断面を持つ半導体の側面のうちダミーゲート電極に覆われない領域の少なくとも一部を露出させ、前記露出した前記略矩形の断面を持つ半導体の側面に、半導体を選択的に成長させ、選択成長と同時または選択成長後に選択的に成長させた前記半導体に不純物を導入することにより、選択的に成長させた前記半導体をソース/ドレイン領域もしくはソース/ドレインエクステンション領域となす工程と、前記ダミーゲート電極を絶縁膜で覆ったのち、前記ダミーゲート電極の一部を露出させて、該ダミーゲート電極をエッチングにより除去し、得られたスリット中にゲート絶縁膜とゲート電極とを設ける工程とを含むものである。   Also, the method of manufacturing a field effect transistor according to the present invention includes providing a dummy gate electrode so as to straddle a semiconductor region having a substantially rectangular cross section, and subsequently, a semiconductor having at least the substantially rectangular cross section among the dummy gate electrodes. The position lower than the upper end of the region is covered with an insulating film, and at least a part of the region not covered with the dummy gate electrode is exposed on the side surface of the semiconductor having the substantially rectangular cross section, and the exposed substantially rectangular cross section A semiconductor is selectively grown on a side surface of the semiconductor, and an impurity is introduced into the semiconductor that is selectively grown at the same time as or after the selective growth. Forming a drain region or a source / drain extension region, and covering the dummy gate electrode with an insulating film; Exposing the portion of the pole, the dummy gate electrode is removed by etching, in which in the resulting slit and a step of providing a gate insulating film and a gate electrode.

また本発明に係る電界効果型トランジスタの製造方法は、略矩形の断面を持つ半導体領域を跨ぐように、絶縁体よりなるダミーゲート電極を設け、続いて前記略矩形の断面を持つ半導体の側面のうちダミーゲート電極に覆われない領域の少なくとも一部を露出させ、前記露出した前記略矩形の断面を持つ半導体の側面に、半導体を選択的に成長させ、選択成長と同時または選択成長後に選択的に成長させた前記半導体に不純物を導入することにより、選択的に成長させた半導体をソース/ドレイン領域もしくはソース/ドレインエクステンション領域と成す工程と、前記ダミーゲート電極を絶縁膜で覆ったのち、前記ダミーゲート電極の一部を露出させて、該ダミーゲート電極をエッチングにより除去し、得られたスリット中にゲート絶縁膜とゲート電極とを設ける工程とを含むものである。   The method of manufacturing a field effect transistor according to the present invention includes providing a dummy gate electrode made of an insulator so as to straddle a semiconductor region having a substantially rectangular cross section, and subsequently forming a semiconductor side surface having the substantially rectangular cross section. Of these, at least a part of a region not covered by the dummy gate electrode is exposed, and a semiconductor is selectively grown on the exposed side surface of the semiconductor having the substantially rectangular cross section, and is selectively grown simultaneously with or after the selective growth. A step of forming a selectively grown semiconductor into a source / drain region or a source / drain extension region by introducing an impurity into the semiconductor grown on the substrate; and covering the dummy gate electrode with an insulating film; A part of the dummy gate electrode is exposed, the dummy gate electrode is removed by etching, and a gate insulating film is formed in the obtained slit. It is intended to include a step of providing a gate electrode.

また前記略矩形の断面を持つ半導体が複数配列し、単一のゲート電極または単一のダミーゲート電極を、配列したこれら複数の略矩形の断面を持つ半導体を跨ぐように形成するものである。   A plurality of semiconductors having a substantially rectangular cross section are arranged, and a single gate electrode or a single dummy gate electrode is formed so as to straddle the plurality of arranged semiconductors having a substantially rectangular cross section.

また複数配列した前記略矩形の断面を持つ半導体は、単一のゲート電極または単一のダミーゲート電極が設けられる位置からある一定の間隔を置いた位置において、互いに接続するようにして設けられるものである。   The semiconductors having a substantially rectangular cross section arranged in plural are provided so as to be connected to each other at a certain distance from a position where a single gate electrode or a single dummy gate electrode is provided. It is.

また前記略矩形の断面を持つ半導体の側面への半導体の選択成長時に、単一のゲート電極または単一のダミーゲート電極が設けられる位置からある一定の間隔を置いた位置において、選択成長した半導体が互いに接触して接続するものである。   In addition, during the selective growth of the semiconductor on the side surface of the semiconductor having the substantially rectangular cross section, the semiconductor which has been selectively grown at a certain distance from the position where the single gate electrode or the single dummy gate electrode is provided. Are connected in contact with each other.

また半導体の選択成長を、選択的エピタキシャル成長により実施するものである。   Further, the selective growth of the semiconductor is performed by selective epitaxial growth.

また前記略矩形の断面を持つ半導体の側面へ選択成長した半導体が、少なくともゲート電極またはダミーゲート電極から一定の範囲内の位置において、ゲート電極またはダミーゲート電極から離れるに従って厚くなるように形成されるものである。   Further, the semiconductor selectively grown on the side surface of the semiconductor having the substantially rectangular cross section is formed so as to become thicker as the distance from the gate electrode or dummy gate electrode increases at least within a certain range from the gate electrode or dummy gate electrode. Is.

また突起形状をもつ半導体領域上に、絶縁膜を介して導電性のゲート電極を設け、前記ゲート電極を絶縁体中に埋め込む工程と、前記ゲート電極を覆う前記絶縁体の上部をエッチングにより除去し、前記ゲート電極の上部を露出させ、引続いて露出した前記ゲート電極の両側に第一の側壁を設け、前記ゲート電極及び前記第一の側壁をマスクに前記ゲート電極を覆う前記絶縁体をエッチバックして、前記第一の側壁の下部において前記ゲート電極の側面に絶縁体よりなるゲート側壁を形成する工程とを含むものである。   In addition, a conductive gate electrode is provided on a semiconductor region having a protruding shape via an insulating film, and the step of embedding the gate electrode in the insulator and the upper portion of the insulator covering the gate electrode are removed by etching. The upper portion of the gate electrode is exposed, and first sidewalls are provided on both sides of the exposed gate electrode, and the insulator covering the gate electrode is etched using the gate electrode and the first sidewall as a mask. And forming a gate side wall made of an insulator on the side surface of the gate electrode under the first side wall.

また突起形状をもつ半導体領域上にダミーゲート電極を設け、前記ダミーゲート電極を絶縁体中に埋め込む工程と、前記ダミーゲート電極を覆う前記絶縁体の上部をエッチングにより除去し、ダミーゲート電極の上部を露出させ、引続いて露出した前記ダミーゲート電極の両側に第一の側壁を設け、前記ダミーゲート電極及び前記第一の側壁をマスクに前記ダミーゲート電極を覆う前記絶縁体をエッチバックして、前記第一の側壁の下部において前記ダミーゲート電極の側面に絶縁体よりなるゲート側壁を形成する工程とを含むものである。   Also, a dummy gate electrode is provided on the semiconductor region having a protruding shape, the dummy gate electrode is embedded in an insulator, and the upper portion of the insulator covering the dummy gate electrode is removed by etching, so that the upper portion of the dummy gate electrode And exposing the insulator covering the dummy gate electrode using the dummy gate electrode and the first sidewall as a mask, by providing a first sidewall on both sides of the exposed dummy gate electrode. And forming a gate side wall made of an insulator on a side surface of the dummy gate electrode under the first side wall.

以上説明したように本発明の電界効果型トランジスタの製造方法によれば、凹凸のある半導体領域上に形成される電界効果型トランジスタの製造方法において、ゲート電極に絶縁膜の側壁を設けるとともに、凹凸のある半導体領域の側面は絶縁膜に覆われていない構造を形成することができる。   As described above, according to the method for manufacturing a field effect transistor of the present invention, in the method for manufacturing a field effect transistor formed on an uneven semiconductor region, the side wall of the insulating film is provided on the gate electrode and the unevenness is provided. A structure in which a side surface of a certain semiconductor region is not covered with an insulating film can be formed.

さらに本発明の電界効果型トランジスタの製造方法によれば、凹凸のある半導体領域上に形成される電界効果型トランジスタの製造方法において、ゲート電極の形成のために設けられるダミーゲート電極に絶縁膜の側壁を設けるとともに、凹凸のある半導体領域の側面は絶縁膜に覆われていない構造を形成することができる。   Furthermore, according to the method for manufacturing a field effect transistor of the present invention, in the method for manufacturing a field effect transistor formed on an uneven semiconductor region, an insulating film is formed on a dummy gate electrode provided for forming a gate electrode. A side wall can be provided, and a structure in which the side surface of the uneven semiconductor region is not covered with an insulating film can be formed.

したがって本発明によれば、特にLSIを構成する微細な縦型電界効果型トランジスタ、半導体層の両側にゲート電極を持つ、ダブルゲート縦型電界効果型トランジスタに対して有効である。   Therefore, according to the present invention, it is particularly effective for a fine vertical field effect transistor constituting an LSI and a double gate vertical field effect transistor having gate electrodes on both sides of a semiconductor layer.

以下、本発明の実施の形態を図により説明する。
(参考形態1)図1は本発明に係る縦型電界効果型トランジスタを示す鳥瞰図、図2は図1の本発明に係る縦型電界効果型トランジスタを真上から見た平面図である。図3は図1及び図2のA1−A1’線断面図、図4は図1及び図2のB1−B1’線断面図、図5は図1及び図2のC1−C1’線断面図である。
Hereinafter, embodiments of the present invention will be described with reference to the drawings.
Reference Embodiment 1 FIG. 1 is a bird's-eye view showing a vertical field effect transistor according to the present invention, and FIG. 2 is a plan view of the vertical field effect transistor according to the present invention shown in FIG. 3 is a cross-sectional view taken along line A1-A1 ′ of FIGS. 1 and 2, FIG. 4 is a cross-sectional view taken along line B1-B1 ′ of FIGS. 1 and 2, and FIG. 5 is a cross-sectional view taken along line C1-C1 ′ of FIGS. It is.

図1に示すように、シリコン基板1上に埋め込み絶縁膜2が設けられ、絶縁膜2上に適当な形にパターニングされた半導体層3が設けられる。半導体層3には、開口部10の列が半導体層3を横断するように設けられる(図2)。開口部10では半導体層3は除去され、その開口は埋め込み絶縁膜2に達する。   As shown in FIG. 1, a buried insulating film 2 is provided on a silicon substrate 1, and a semiconductor layer 3 patterned in an appropriate shape is provided on the insulating film 2. The semiconductor layer 3 is provided with a row of openings 10 so as to cross the semiconductor layer 3 (FIG. 2). In the opening 10, the semiconductor layer 3 is removed, and the opening reaches the buried insulating film 2.

図2に示すように開口配列領域34において、半導体層3上及び開口部10に露出した埋め込み絶縁膜2上に、開口部10が配列する方向に長辺を持つゲート電極5が設けられる。ゲート電極5の下部に位置する半導体層3(図3参照)は、不純物が導入されないか、あるいは不純物が低濃度に導入され、適当なゲート電圧の印加によりチャネルが形成されるチャネル形成領域7を構成する。   As shown in FIG. 2, the gate electrode 5 having a long side in the direction in which the openings 10 are arranged is provided on the semiconductor layer 3 and the buried insulating film 2 exposed in the openings 10 in the opening arrangement region 34. The semiconductor layer 3 (see FIG. 3) located below the gate electrode 5 has a channel formation region 7 in which impurities are not introduced or impurities are introduced at a low concentration and a channel is formed by applying an appropriate gate voltage. Constitute.

チャネル形成領域7を構成する半導体層3の上面及び側面には絶縁膜(図1の形態では上面、側面ともにゲート絶縁膜6)が設けられ(図3参照)、チャネル形成領域7を構成する半導体層3は絶縁膜を介して上面と側面においてゲート電極5に向かい合う(図4参照)。ここで、少なくともチャネル形成領域7を構成する半導体層3の側面に設けられる絶縁膜はゲート絶縁膜6であり、ゲート電圧の印加により半導体層3の側面にチャネルが形成される程度まで膜厚を薄く設定される。   An insulating film (a gate insulating film 6 on the upper surface and the side surface in the form of FIG. 1) is provided on the upper surface and side surfaces of the semiconductor layer 3 constituting the channel forming region 7 (see FIG. 3), and the semiconductor constituting the channel forming region 7 The layer 3 faces the gate electrode 5 through the insulating film on the upper surface and side surfaces (see FIG. 4). Here, the insulating film provided on the side surface of the semiconductor layer 3 constituting at least the channel formation region 7 is the gate insulating film 6, and the film thickness is increased to the extent that a channel is formed on the side surface of the semiconductor layer 3 by application of the gate voltage. Set thin.

チャネル形成領域7を構成する半導体層3の上面の絶縁膜は、側面の絶縁膜(ゲート絶縁膜6)と同程度に薄いゲート絶縁膜でも良く、あるいは側面の絶縁膜よりも厚く設けられても良い。また半導体層3の上面の絶縁膜と、側面の絶縁膜の材料は異なっていても良い。   The insulating film on the upper surface of the semiconductor layer 3 constituting the channel forming region 7 may be a gate insulating film as thin as the side insulating film (gate insulating film 6), or may be provided thicker than the side insulating film. good. The insulating film on the upper surface of the semiconductor layer 3 and the material of the insulating film on the side surface may be different.

図2に示すように半導体層3のうち、開口部10が配列する領域34の両側に位置する部分は、高濃度の不純物がドーピングされたソース/ドレイン領域4を構成する。ソース/ドレイン領域4とチャネル形成領域7との間の領域は、ソース/ドレイン4と同じ導電型の不純物が高濃度に導入され、ソース/ドレイン領域4とチャネル形成領域7を接続するソース/ドレイン接続部32を構成することとなる(図2参照)。   As shown in FIG. 2, portions of the semiconductor layer 3 located on both sides of the region 34 where the openings 10 are arranged constitute a source / drain region 4 doped with a high-concentration impurity. In a region between the source / drain region 4 and the channel forming region 7, an impurity having the same conductivity type as that of the source / drain 4 is introduced at a high concentration, and the source / drain connecting the source / drain region 4 and the channel forming region 7 is connected. The connection part 32 will be comprised (refer FIG. 2).

本参考形態のソース/ドレイン領域4はソース/ドレインコンタクト16(図35〜図37)を介して配線を接続する役割を持つ。またソース/ドレイン接続部32は、ソース/ドレイン領域4とチャネル形成領域7を接続するとともに、不純物の高濃度部とチャネル形成領域が接続する部分の厚さ(伝導経路を構成する半導体層3の水平幅に相当、また通常の電界効果型トランジスタの接合深さに相当)を小さくすることにより、短チャネル効果(トランジスタの微細化に伴うしきい値電圧など諸特性の変動)を抑制する作用を持つ。   The source / drain region 4 of the present embodiment has a role of connecting wirings via the source / drain contact 16 (FIGS. 35 to 37). The source / drain connection portion 32 connects the source / drain region 4 and the channel formation region 7, and the thickness of the portion where the high impurity concentration portion and the channel formation region are connected (the semiconductor layer 3 constituting the conduction path). By reducing the width (corresponding to the horizontal width and the junction depth of a normal field effect transistor), the short channel effect (variation in characteristics such as threshold voltage due to transistor miniaturization) is suppressed. Have.

なお、本トランジスタにおけるソース/ドレイン領域4とソース/ドレイン接続部32を合わせた部分が通常のシングルドレイン電界効果型トランジスタにおけるソース/ドレイン領域の作用を持つ部分に相当する。ソース/ドレイン領域からチャネル形成領域に対して浅く延長されたソース/ドレインエクステンションをもつ電界効果型トランジスタに対しては、本参考形態のソース/ドレイン接続部32がソース/ドレインエクステンションに相当する。   Note that the combined portion of the source / drain region 4 and the source / drain connection portion 32 in this transistor corresponds to a portion having the function of the source / drain region in a normal single drain field effect transistor. For a field effect transistor having a source / drain extension that extends shallowly from the source / drain region to the channel formation region, the source / drain connection portion 32 of the present embodiment corresponds to the source / drain extension.

図2に図示していないが、ゲート電極5に覆われていない開口部10内には、トランジスタが完成するまでの間に、各種の絶縁膜堆積工程において、各種の絶縁体が埋め込まれる。但し、開口部10内がすべて絶縁体に満たされる必要はなく、絶縁体が埋め込まれない空洞が一部に残存しても良い。なお、図2では図を見やすくするためにゲート絶縁膜6を図示していない。   Although not shown in FIG. 2, various insulators are embedded in the opening 10 not covered by the gate electrode 5 in various insulating film deposition steps until the transistor is completed. However, it is not necessary for the inside of the opening 10 to be filled with an insulator, and a cavity in which the insulator is not embedded may remain in part. In FIG. 2, the gate insulating film 6 is not shown in order to make the drawing easier to see.

各部分の寸法は例えば以下の通りにする。埋め込み絶縁膜2の厚さは、例えば100nmとする。半導体層3の厚さ(図1における高さaに相当する)は例えば120nmとする。開口部10が配列する方向(A1−A1’線方向)における開口部10の幅は100nm、開口部10が配列する方向に対して直角な方向(C1−C1’線方向)の開口部10の幅は300nmとする。二つの開口部10に挟まれた半導体層3の幅は50nmとする。開口配列領域34の両端では、開口部10のほぼ半分の大きさを持つ切り欠きが、半導体層3に設けられる。ゲート絶縁膜は、形成するトランジスタにおいて短チャネル効果を抑制するために適した材料及び膜厚の組み合わせを持つようにする。ゲート絶縁膜の材質がSiO2の場合、典型的な厚さは1.5〜4nmである。 The dimensions of each part are as follows, for example. The thickness of the buried insulating film 2 is 100 nm, for example. The thickness of the semiconductor layer 3 (corresponding to the height a in FIG. 1) is, for example, 120 nm. The width of the opening 10 in the direction in which the openings 10 are arranged (A1-A1 ′ line direction) is 100 nm, and the width of the openings 10 in the direction perpendicular to the direction in which the openings 10 are arranged (C1-C1 ′ line direction). The width is 300 nm. The width of the semiconductor layer 3 sandwiched between the two openings 10 is 50 nm. At both ends of the opening array region 34, notches having approximately half the size of the opening 10 are provided in the semiconductor layer 3. The gate insulating film has a combination of a material and a thickness suitable for suppressing a short channel effect in a transistor to be formed. When the material of the gate insulating film of SiO 2, a typical thickness is 1.5~4Nm.

但し、埋め込み絶縁膜2の厚さには特に制限はない。一般にSIMOXウェハ(シリコン基板中に酸素をイオン注入して作製するSOI基板)では前記埋め込み絶縁層の厚さは100nmから400nm程度、張り合わせウェハ(絶縁膜を介して二枚のシリコン基板を張り合わせて作製したSOIウェハ)の場合に前記埋め込み絶縁層の厚さは、一般に1〜3μm程度であるが、ELTRAN技術(多孔質シリコンを形成することにより、薄膜シリコン層を分離させる技術)を用いた張り合わせウェハでは、前記埋め込み絶縁層の厚さは、50nm程度のものもある。一般に論理回路においては、埋め込み絶縁層を介して熱が逃げやすいように、前記埋め込み絶縁層の厚さは、150nm以下に設定することが望ましいが、本発明の効果は埋め込み絶縁層2の厚さには影響されず、その厚さには制限はない。   However, the thickness of the buried insulating film 2 is not particularly limited. In general, in a SIMOX wafer (an SOI substrate manufactured by ion implantation of oxygen into a silicon substrate), the thickness of the buried insulating layer is about 100 nm to 400 nm, and a bonded wafer (manufactured by bonding two silicon substrates through an insulating film). In the case of SOI wafers), the thickness of the buried insulating layer is generally about 1 to 3 μm, but a bonded wafer using ELTRAN technology (a technology for separating a thin film silicon layer by forming porous silicon). Then, the buried insulating layer has a thickness of about 50 nm. In general, in a logic circuit, the thickness of the buried insulating layer is preferably set to 150 nm or less so that heat can easily escape through the buried insulating layer, but the effect of the present invention is the thickness of the buried insulating layer 2. The thickness is not limited.

二つの開口部10に挟まれた半導体層3の幅は、ゲート長と同程度か、それよりも小さいことが短チャネル効果抑制の観点から望ましく、ゲート長の半分、またはそれ以下であることが短チャネル効果抑制の観点から特に望ましい。ゲート長には特に制限がないが、本発明が適用される電界効果型トランジスタに対して想定される典型的なゲート長は10nmから0.25μmの範囲である。半導体層3の幅と高さの関係については、後述の図53を参照して詳述する。   The width of the semiconductor layer 3 sandwiched between the two openings 10 is preferably about the same as or smaller than the gate length from the viewpoint of suppressing the short channel effect, and is half or less than the gate length. This is particularly desirable from the viewpoint of suppressing the short channel effect. The gate length is not particularly limited, but a typical gate length assumed for the field effect transistor to which the present invention is applied is in the range of 10 nm to 0.25 μm. The relationship between the width and height of the semiconductor layer 3 will be described in detail with reference to FIG.

各部分の材質は、以下の通りにする。埋め込み絶縁膜2は絶縁体であれば良いが、例えばSiO2とする。SiO2以外に、例えばSi34、AlN、アルミナ、その他金属酸化物よりなる絶縁体、有機材料よりなる絶縁体等を用いても良い。また、埋め込み絶縁膜2を空洞で置き換え、空洞よりなる埋め込み絶縁層をもつトランジスタを形成してもよい。 The material of each part is as follows. The buried insulating film 2 may be an insulator, but is made of SiO 2 , for example. In addition to SiO 2 , for example, an insulator made of Si 3 N 4 , AlN, alumina, other metal oxides, an insulator made of an organic material, or the like may be used. Alternatively, the buried insulating film 2 may be replaced with a cavity to form a transistor having a buried insulating layer made of a cavity.

本発明の効果を享受するに当たり、半導体層3の材質にも特に制限がないが、通常のLSIプロセスとの互換性という観点からは、単結晶シリコンが最も望ましい。ゲート電極5の材質は必要な仕事関数及び導電率を持つ導電体であれば良い。例えば、n+型またはp+型のポリシリコン、n+型またはp+型の多結晶SiGe混晶、n+型またはp+型の多結晶Ge、n+型またはp+型の多結晶SiC等の半導体、Mo、W、Taなどの金属、TiN、WN等の金属窒化物、白金シリサイド、エルビウムシリサイド等のシリサイド化合物が挙げられる。 In order to enjoy the effects of the present invention, the material of the semiconductor layer 3 is not particularly limited, but single crystal silicon is most desirable from the viewpoint of compatibility with a normal LSI process. The material of the gate electrode 5 may be a conductor having a necessary work function and conductivity. For example, n + -type or p + -type polysilicon, n + -type or p + -type polycrystalline SiGe mixed crystal, n + -type or p + -type polycrystalline Ge, n + -type or p + -type polycrystalline SiC And semiconductors such as Mo, W and Ta, metal nitrides such as TiN and WN, and silicide compounds such as platinum silicide and erbium silicide.

図では、ゲート長(後で形成される二つのソース/ドレイン領域を結ぶ方向のゲート電極5の寸法。図1、図2、図4ではB1−B1’方向、C1−C1’方向の寸法が相当する)は、開口部10を埋めない程度に設定され、例えば150nmとする。但し、開口部10の両端にソース/ドレイン領域が届くように設けられるのであれば、ゲート電極5は開口部10を完全に覆っても良い。チャネル形成領域7を構成する半導体層3には低濃度の不純物が導入されていても良いし、あるいは不純物を全く導入しなくとも良い。不純物は例えば、ホウ素、リン、ヒ素であり、その濃度は1019cm-3未満である。素子特性の優れた完全空乏化型動作を得るためには、その濃度は1018cm-3未満であることが望ましい。 In the figure, the gate length (the dimension of the gate electrode 5 in the direction connecting two source / drain regions formed later. In FIGS. 1, 2, and 4, the dimensions in the B1-B1 ′ direction and the C1-C1 ′ direction are shown. (Corresponding) is set so as not to fill the opening 10 and is set to, for example, 150 nm. However, the gate electrode 5 may completely cover the opening 10 as long as the source / drain region is provided so as to reach both ends of the opening 10. Low-concentration impurities may be introduced into the semiconductor layer 3 constituting the channel formation region 7, or impurities may not be introduced at all. Impurities are, for example, boron, phosphorus and arsenic, and the concentration is less than 10 19 cm −3. In order to obtain a fully depleted operation with excellent device characteristics, the concentration is desirably less than 10 18 cm −3 .

ゲート電極5の材料として、その材料の仕事関数がしきい値の制御に適した材料を選ぶ場合(Mo、W、Taなどの金属、TiN、WN等の金属窒化物、白金シリサイド、エルビウムシリサイド、SiGe混晶など)、不純物の導入は必要なく、また導入するとしても1018cm-3未満で良い。また不純物濃度は、両側側面のチャネルから半導体層3の中央に向かって伸びる空乏層が、少なくともゲート電極5にしきい値電圧を印加した状態で、互いに接する程度まで低濃度に設定すれば、動作特性に優れた完全空乏化動作となるとともに、ダブルゲート構造がもたらす短チャネル効果に対する抑制効果を享受できる。 As a material of the gate electrode 5, a material whose work function is suitable for controlling the threshold value (metal such as Mo, W, Ta, metal nitride such as TiN, WN, platinum silicide, erbium silicide, (SiGe mixed crystal, etc.) and impurities need not be introduced, and even if introduced, it may be less than 10 18 cm −3 . Further, if the impurity concentration is set to a low concentration so that the depletion layers extending from the channels on both side surfaces toward the center of the semiconductor layer 3 are in contact with each other in a state where the threshold voltage is applied to at least the gate electrode 5, the operating characteristics are obtained. It is possible to enjoy the effect of suppressing the short channel effect brought about by the double gate structure.

ソース/ドレイン領域4にはチャネルの導電型と同一導電型を持つ不純物が高濃度に導入される。nチャネルトランジスタの場合、リン、ヒ素などのn型不純物が、pチャネルトランジスタの場合にはホウ素などのp型不純物が導入される。ソース/ドレイン領域4に導入される不純物の濃度は1019cm-3以上であり、典型的には5×1019cm-3〜5×1020cm-3である。 Impurities having the same conductivity type as the channel conductivity type are introduced into the source / drain region 4 at a high concentration. In the case of an n-channel transistor, an n-type impurity such as phosphorus or arsenic is introduced, and in the case of a p-channel transistor, a p-type impurity such as boron is introduced. The concentration of the impurity introduced into the source / drain region 4 is 10 19 cm −3 or more, typically 5 × 10 19 cm −3 to 5 × 10 20 cm −3 .

このトランジスタのチャネル形成領域7の電位は、チャネル形成領域7を構成する半導体層3の両側面に設けられたゲート電極5により制御されるので、チャネル形成領域7の電位に対する制御性が高く、短チャネル効果が抑制され、素子の特性が向上する。   Since the potential of the channel formation region 7 of this transistor is controlled by the gate electrodes 5 provided on both side surfaces of the semiconductor layer 3 constituting the channel formation region 7, the controllability to the potential of the channel formation region 7 is high and short. The channel effect is suppressed and the characteristics of the device are improved.

また半導体層3の両側面に配置されたゲート電極5からの電界により、半導体層3の両側面から半導体層3の内部に向かって形成される二つの空乏層の幅の合計よりも、半導体層3の幅(図3のW3)を小さくすると、素子を完全空乏化型動作させることができるので、サブスレッショルド特性(しきい値電圧以下のゲート電圧を印加した場合、トランジスタが急峻にオフする度合い)が改善され、基板浮遊効果(半導体層中に余剰キャリアが蓄積することによる異常動作)が抑制される。 Further, the electric field from the gate electrode 5 arranged on both side surfaces of the semiconductor layer 3 causes the semiconductor layer to be larger than the total width of the two depletion layers formed from both side surfaces of the semiconductor layer 3 toward the inside of the semiconductor layer 3. When the width of W 3 (W 3 in FIG. 3) is reduced, the device can be operated in a fully depleted manner. Therefore, when a gate voltage lower than the threshold voltage is applied, the transistor is sharply turned off. Degree) and the substrate floating effect (abnormal operation due to accumulation of surplus carriers in the semiconductor layer) is suppressed.

チャネル形成領域7を構成する半導体層3の上面の絶縁膜が薄く、同半導体層3の上面にチャネルが形成される場合、半導体層3の高さ(図3のh3)と半導体層3の幅(図3のW3)が同じであれば、両側面のチャネル幅(図3では縦方向)の合計は、半導体層3の上面に形成されるチャネルの幅(図3では横方向)の2倍となる。半導体層3の高さh3が半導体層3の幅W3より大きければ、両側面のチャネル幅(図3では縦方向)の合計は、半導体層3の上面に形成されるチャネルの幅(図3では横方向)の2倍以上となり、側面のチャネルを、支配的なチャネルとすることができる。 When the insulating film on the upper surface of the semiconductor layer 3 constituting the channel forming region 7 is thin and a channel is formed on the upper surface of the semiconductor layer 3, the height of the semiconductor layer 3 (h 3 in FIG. 3 ) and the semiconductor layer 3 If the width (W 3 in FIG. 3) is the same, the sum of the channel widths (vertical direction in FIG. 3) on both sides is the width of the channel formed in the upper surface of the semiconductor layer 3 (lateral direction in FIG. 3). Doubled. If the height h 3 of the semiconductor layer 3 is larger than the width W 3 of the semiconductor layer 3, the sum of the channel widths on both sides (vertical direction in FIG. 3) is the width of the channel formed on the upper surface of the semiconductor layer 3 (FIG. 3 in the horizontal direction), the side channel can be the dominant channel.

従って、チャネル形成領域7を構成する半導体層3の高さh3と同半導体層3の幅W3を同じとするか、あるいは、同半導体層3の高さh3を半導体層3の幅W3よりも大きくすることが望ましい。 Accordingly, the height h 3 of the semiconductor layer 3 constituting the channel forming region 7 and the width W 3 of the semiconductor layer 3 are made the same, or the height h 3 of the semiconductor layer 3 is made equal to the width W of the semiconductor layer 3. Desirably larger than 3 .

チャネル形成領域7を構成する半導体層3の側面に形成されるゲート絶縁膜6よりも、等価膜厚(等価膜厚とは、絶縁膜の厚さを絶縁膜の比誘電率で割り、得られた商にSiO2の比誘電率を掛けたものである。)の大きい絶縁膜が、チャネル形成領域7を構成する半導体層3の上面に設けられ、その上面にチャネルを構成するキャリアが誘起されない場合には、チャネルはチャネル形成領域7を構成する半導体層3の両側面にのみ形成される。この場合、一つの伝導経路(35)当たりのチャネル幅は、チャネル形成領域7を構成する半導体層3の高さの2倍となる。 Equivalent film thickness (equivalent film thickness is obtained by dividing the thickness of the insulating film by the relative dielectric constant of the insulating film) rather than the gate insulating film 6 formed on the side surface of the semiconductor layer 3 constituting the channel forming region 7. Is obtained by multiplying the quotient by the relative dielectric constant of SiO 2 ), and a large insulating film is provided on the upper surface of the semiconductor layer 3 constituting the channel formation region 7, and carriers constituting the channel are not induced on the upper surface. In some cases, the channel is formed only on both side surfaces of the semiconductor layer 3 constituting the channel formation region 7. In this case, the channel width per conduction path (35) is twice the height of the semiconductor layer 3 constituting the channel formation region 7.

ここで、チャネル形成領域7を構成する半導体層3の適切な高さh3について、図53を参照して説明する。チャネル形成領域7と開口部10とが周期的に配列する断面において、一点鎖線で区切った一つの周期を考える。 Here, an appropriate height h 3 of the semiconductor layer 3 constituting the channel formation region 7 will be described with reference to FIG. Consider one period divided by a one-dot chain line in a cross section in which the channel forming region 7 and the opening 10 are periodically arranged.

片側の側面におけるチャネル幅をWとすると、一つの周期をなす構造において、チャネル幅の合計は2Wとなる。   If the channel width on one side surface is W, the total channel width is 2 W in a structure having one cycle.

一方、図53におけるチャネル形成領域7を構成する半導体層3の横方向の幅をWsi(図3のW3に相当)、チャネル形成領域7を分離する開口部10の幅をWspとすると、一つの周期の幅はWsi+Wspとなる。同じ領域に通常のトランジスタ(例えば図52の構造)を形成した場合に得られるチャネル幅はWsi+Wspであるから、本発明のトランジスタにおいて通常のトランジスタよりも大きなチャネル幅を実現するためには、2W>Wsi+Wspという条件を満たせば良い。両辺を2で割ればW>(Wsi+Wsp)/2となる。 On the other hand, if the lateral width of the semiconductor layer 3 constituting the channel forming region 7 in FIG. 53 is W si (corresponding to W 3 in FIG. 3), and the width of the opening 10 separating the channel forming region 7 is W sp. The width of one cycle is W si + W sp . Since the channel width obtained when a normal transistor (for example, the structure of FIG. 52) is formed in the same region is W si + W sp , in order to realize a channel width larger than that of a normal transistor in the transistor of the present invention. 2 W> W si + W sp should be satisfied. Dividing both sides by 2 gives W> (W si + W sp ) / 2.

すなわち、WがWsiとWspの平均より大きければ良い。片側の側面のチャネル幅Wと、チャネル形成領域7の高さhSiは同じと考えられるので、チャネル形成領域7を構成する半導体層3の高さhSi(h3)が、チャネル形成領域7を構成する半導体層3の幅Wsiと開口部10幅Wspの平均より大きければ良いと言える。ここで、典型的な一つの例として、チャネル形成領域7を構成する半導体層3の幅Wsiと開口部10幅Wspが同じ場合を考えると、両者の平均はWsiと等しいので、チャネル形成領域7を構成する半導体層3の高さhSiがチャネル形成領域7の幅Wsiよりも大きければ良いという結論が得られる。WsiとWspは必ずしも等しくないが、Wsi=Wspと仮定して得られるhSi>Wsiという条件を、トランジスタを設計する指針として採用すれば、上の条件W>(Wsi+Wsp)/2から、少なくとも大きく外れないトランジスタが得られる。 That is, W should be larger than the average of W si and W sp . Since the channel width W on one side surface and the height h Si of the channel formation region 7 are considered to be the same, the height h Si (h 3 ) of the semiconductor layer 3 constituting the channel formation region 7 is equal to the channel formation region 7. It can be said that it should be larger than the average of the width W si of the semiconductor layer 3 and the width W sp of the opening 10. Here, as a typical example, considering the case where the width W si of the semiconductor layer 3 constituting the channel forming region 7 and the opening 10 width W sp are the same, the average of both is equal to W si , It can be concluded that the height h Si of the semiconductor layer 3 constituting the formation region 7 should be larger than the width W si of the channel formation region 7. W si and W sp are not necessarily equal, but if the condition of h Si > W si obtained on the assumption that W si = W sp is adopted as a guideline for designing a transistor, the above condition W> (W si + W sp ) / 2 results in a transistor that does not deviate at least significantly.

また、他の典型的な構造として、チャネル形成領域7を構成する半導体層3の幅を開口部10の幅よりも小さくする場合には、Wsi<Wspであるので、h3>Wspという条件を満たせば、上の条件W>(Wsi+Wsp)/2を必ず満たすことができる。 As another typical structure, when the width of the semiconductor layer 3 constituting the channel formation region 7 is made smaller than the width of the opening 10, W si <W sp , so that h 3 > W sp If the above condition is satisfied, the above condition W> (W si + W sp ) / 2 can be satisfied.

また、この電界効果型トランジスタは、基板平面にほぼ垂直な半導体層3の側面に形成されるチャネルを、主たる伝導経路とするトランジスタであるにもかかわらず、ソース/ドレイン、及びゲート電極5の形状を基板面に投影した際の形状(図2)は、通常の電界効果型トランジスタ(図52)と同一であるという特徴を有する。   In addition, although this field effect transistor is a transistor having a channel formed on the side surface of the semiconductor layer 3 substantially perpendicular to the substrate plane as a main conduction path, the shape of the source / drain and the gate electrode 5 The shape (FIG. 2) when projected onto the substrate surface is the same as that of a normal field effect transistor (FIG. 52).

また、素子領域15の形状も、中央部を横断する開口部10の配列を除けば、通常の電界効果型トランジスタと同一である。すなわち、チャネル形成領域7及びソース/ドレイン接続部32は縦型構造を持つが、ソース/ドレイン領域の形状は開口部10の周囲を除いて通常の電界効果トランジスタと同一である。   Further, the shape of the element region 15 is the same as that of a normal field effect transistor except for the arrangement of the openings 10 crossing the central portion. That is, the channel formation region 7 and the source / drain connection 32 have a vertical structure, but the shape of the source / drain region is the same as that of a normal field effect transistor except for the periphery of the opening 10.

このため、ソース/ドレイン領域に対するコンタクト16、ゲート電極5に対するコンタクト17についても、通常の電界効果型トランジスタ(図52)と同様のパターン(図35)及び同様の工程によって作製することができる。   Therefore, the contact 16 for the source / drain region and the contact 17 for the gate electrode 5 can also be manufactured by the same pattern (FIG. 35) and the same process as those of the normal field effect transistor (FIG. 52).

またソース/ドレイン領域についても、開口部10の周辺を除けば、通常の電界効果型トランジスタと同様であるので、ソース/ドレイン領域の形成、シリサイド化、あるいは低抵抗化のためにソース/ドレイン領域上に半導体層3をエピタキシャル成長、選択成長させる工程などにおいて、従来の電界効果型トランジスタに対するものと同様の工程、あるいは従来のSOI型電界効果型トランジスタに対するものと同様の工程を用いることができる。   The source / drain region is the same as that of a normal field effect transistor except for the periphery of the opening 10, so that the source / drain region is formed for the formation of the source / drain region, silicidation, or low resistance. In the process of epitaxially growing and selectively growing the semiconductor layer 3 on the top, the same process as that for a conventional field effect transistor or the same process as that for a conventional SOI field effect transistor can be used.

従って開口部10の配列構造を追加することを除けば、通常のトランジスタの場合とほぼ同一のパターンを用いることができ、また開口部10の形成及び開口部10の周辺に対する加工(例えばゲート電極5の加工)を除いた工程(例えば、ゲート及びソース/ドレインへのコンタクト形成)では、従来の電界効果型トランジスタに対するものと同一の工程を用いることができるという特徴を有する。   Accordingly, except for the addition of the arrangement structure of the openings 10, almost the same pattern as that of a normal transistor can be used, and the formation of the openings 10 and the processing of the periphery of the openings 10 (for example, the gate electrode 5). In the process except for the processing of (for example, contact formation to the gate and the source / drain), the same process as that for the conventional field effect transistor can be used.

また、チャネル部においては、一定の高さ(典型的には200nm以下、好ましくは120nm以下、さらに好ましくは60nm以下)の縦型トランジスタが並列に接続される構造を持ち、チャネル幅が各伝導経路に分散されることになり、チャネル幅の大きいトランジスタにおいても、チャネル形成領域7の高さが一定に保たれる。   The channel portion has a structure in which vertical transistors having a certain height (typically 200 nm or less, preferably 120 nm or less, more preferably 60 nm or less) are connected in parallel, and the channel width is set to each conduction path. Therefore, the height of the channel formation region 7 is kept constant even in a transistor having a large channel width.

またチャネル幅の異なるトランジスタを回路内に混在させる場合には、単に配列する伝導経路の数を変えれば良いので、トランジスタの高さを変える必要がなく、トランジスタの高さにおけるバラツキを生じない。   In addition, when transistors having different channel widths are mixed in the circuit, it is only necessary to change the number of conductive paths to be arranged. Therefore, there is no need to change the height of the transistor, and there is no variation in the height of the transistor.

またトランジスタの高さを一定の値以下に保つことができるので、半導体層3の上面からイオン注入など不純物導入手段により不純物を導入した際においても、半導体層3の基板平面に垂直な上下方向で不純物濃度の均一性が良い。また、半導体層3の上下方向に対してゲート寸法(特に、二つのソース/ドレインを結ぶ方向の長さ、すなわちゲート長)の均一性が良い。また半導体層3の基板平面方向の厚さについて、上下方向での均一性が良い。   In addition, since the height of the transistor can be kept below a certain value, even when impurities are introduced from the upper surface of the semiconductor layer 3 by an impurity introduction means such as ion implantation, the semiconductor layer 3 is vertically oriented perpendicular to the substrate plane. Good uniformity of impurity concentration. Further, the uniformity of the gate dimension (particularly, the length in the direction connecting two sources / drains, that is, the gate length) with respect to the vertical direction of the semiconductor layer 3 is good. Also, the thickness in the substrate plane direction of the semiconductor layer 3 is good in the vertical direction.

ここに述べた半導体層3の基板平面に垂直な上下方向における不純物濃度、ゲート寸法、及び半導体層3の基板平面方向の厚さについての均一性は、半導体層3が薄いほど改善される(チャネル部における半導体層3の高さhSiは120nm以下が好ましく、60nm以下がさらに好ましい)。 The uniformity of the impurity concentration in the vertical direction perpendicular to the substrate plane of the semiconductor layer 3, the gate dimension, and the thickness of the semiconductor layer 3 in the substrate plane direction described here is improved as the semiconductor layer 3 is thinner (channel The height h Si of the semiconductor layer 3 in the part is preferably 120 nm or less, and more preferably 60 nm or less.

また、この電界効果型トランジスタは、チャネル形成領域7を構成する半導体層3の両側面には、ゲート電極5が設けられており、ダブルゲート構造と呼ばれる構造を形成する。これは、薄膜(典型的には50nm以下)の半導体層3を挟んで二つのゲート電極5が設けられる構造であり、例えば関川によりソリッドステートエレクトロニクス27巻827頁1984年(T.Sekikawa、Solid−State Elec
tronics、vol.27、p.827、1984)、田中により1991年アイ・イー・ディー・エム、テクニカルダイジェスト、683頁〜686頁(T.Tanaka、1991IEEE、IEDM、pp.683〜686)にそれぞれ開示されている。関川及び田中は基板平面に平行な半導体層の上下にゲート電極を形成する構造を採用することにより、短チャネル効果が抑制されることを報告している。
In addition, this field effect transistor is provided with gate electrodes 5 on both side surfaces of the semiconductor layer 3 constituting the channel formation region 7 to form a structure called a double gate structure. This is a structure in which two gate electrodes 5 are provided across a semiconductor layer 3 of a thin film (typically 50 nm or less). For example, Sekikawa, Solid State Electronics, Vol. 27, page 827, 1984 (T. Sekikawa, Solid- State Elec
tronics, vol. 27, p. 827, 1984) and Tanaka, 1991, IM DM, Technical Digest, pages 683-686 (T. Tanaka, 1991 IEEE, IEDM, pp. 683-686), respectively. Sekikawa and Tanaka have reported that the short channel effect is suppressed by adopting a structure in which gate electrodes are formed above and below a semiconductor layer parallel to the substrate plane.

しかし、上述した刊行物に開示された構造である、半導体層の上下にゲート電極を設ける構造では、上下のゲート電極を同時に形成できないという問題がある。このため、上下のゲートの位置を自己整合的に決定できず、上下のゲートの位置がずれるという問題、あるいは上下のゲートの寸法(特にゲート長、すなわちソースとドレインを結ぶ方向のゲートの寸法)を揃えられないという問題がある。   However, in the structure disclosed in the above-mentioned publication, in which the gate electrodes are provided above and below the semiconductor layer, there is a problem that the upper and lower gate electrodes cannot be formed simultaneously. For this reason, the position of the upper and lower gates cannot be determined in a self-aligned manner, and the position of the upper and lower gates is shifted, or the size of the upper and lower gates (particularly the gate length, that is, the dimension of the gate in the direction connecting the source and drain) There is a problem that can not be aligned.

一方、本参考形態の構造は、半導体層3の両側面にゲート電極5を設けることによりダブルゲート構造を実現し、短チャネル効果を抑制できる上、両側面のゲート電極5を同時に形成することが容易であり(例えば後述の参考形態3を参照)、両側面のゲートの位置ずれ、及び寸法の差を従来の技術に比べ大きく低減できる。   On the other hand, the structure according to the present embodiment realizes a double gate structure by providing the gate electrodes 5 on both side surfaces of the semiconductor layer 3 and can suppress the short channel effect and simultaneously form the gate electrodes 5 on both side surfaces. This is easy (see, for example, Reference Mode 3 described later), and the positional deviation of the gates on both sides and the difference in dimensions can be greatly reduced as compared with the conventional technique.

次に、図1に示す本発明の縦型電界効果型トランジスタの変形例について説明する。   Next, a modification of the vertical field effect transistor of the present invention shown in FIG. 1 will be described.

図6は半導体層3に設ける開口部10を円形とした例を示す平面図である。図7は開口配列領域34の両端において半導体層3に切り欠きが設けられない構造である。なお、図6及び図7では、ゲート電極5と開口部10との位置関係を解りやすくするために、本来はゲート電極5の下に隠れている開口部10の外形線も表示している。   FIG. 6 is a plan view showing an example in which the opening 10 provided in the semiconductor layer 3 is circular. FIG. 7 shows a structure in which notches are not provided in the semiconductor layer 3 at both ends of the opening array region 34. 6 and 7, the outline of the opening 10 that is originally hidden under the gate electrode 5 is also shown in order to facilitate understanding of the positional relationship between the gate electrode 5 and the opening 10.

図8に示すように半導体層3に開口部10を設ける際に、開口部10において、埋め込み絶縁層2を一定の深さまで掘り下げ、半導体層3の下端よりも少し下の位置までゲート電極5の下端が達している。   As shown in FIG. 8, when the opening 10 is provided in the semiconductor layer 3, the buried insulating layer 2 is dug down to a certain depth in the opening 10, and the gate electrode 5 is formed to a position slightly below the lower end of the semiconductor layer 3. The lower end is reached.

ゲート電極5の下端と半導体層3の下端の位置が揃っている場合、あるいはゲート電極5の下端が半導体層3の下端よりも上に位置する場合、半導体層3の下端、あるいは半導体層3の下部コーナー(これらはそれぞれ、通常の電界効果型トランジスタにおける素子領域端、素子領域端のコーナーに相当する)の電位を、ゲート電極5により充分に制御することが比較的難しく、ソース・ドレイン間に漏れ電流が流れやすい。   When the lower end of the gate electrode 5 and the lower end of the semiconductor layer 3 are aligned, or when the lower end of the gate electrode 5 is located above the lower end of the semiconductor layer 3, the lower end of the semiconductor layer 3 or the semiconductor layer 3 It is relatively difficult to sufficiently control the potential of the lower corners (which correspond to the end of the element region and the end of the element region in a normal field effect transistor) by the gate electrode 5, and the potential between the source and the drain is relatively difficult. Leakage current is easy to flow.

一方、図8に示すように本発明では、半導体層3の下端よりも少し下の位置まで、ゲート電極5の下端が達するようにすると、半導体層3の下端付近における漏れ電流を抑制しやすくなる。   On the other hand, as shown in FIG. 8, in the present invention, when the lower end of the gate electrode 5 reaches a position slightly below the lower end of the semiconductor layer 3, it becomes easy to suppress the leakage current in the vicinity of the lower end of the semiconductor layer 3. .

また図26に示すように、埋め込み絶縁層2に対してテーパーエッチングを施して、半導体層3の下端より下の位置で、埋め込み絶縁層2の側面が傾斜を持つ形状を形成しても良い。   In addition, as shown in FIG. 26, the buried insulating layer 2 may be subjected to taper etching to form a shape in which the side surface of the buried insulating layer 2 is inclined at a position below the lower end of the semiconductor layer 3.

また図26において、ゲート電極5の下端が半導体層3の下端よりも下になるため、半導体層3の下端の電位に対するゲート電極5の制御性を高めることができる。   In FIG. 26, since the lower end of the gate electrode 5 is lower than the lower end of the semiconductor layer 3, the controllability of the gate electrode 5 with respect to the potential of the lower end of the semiconductor layer 3 can be improved.

なお図8及び図26は、チャネル形成領域7を構成する半導体層3の側面と上面の両方に同じ膜厚のゲート絶縁膜6を設けた場合を示したが、半導体層3の上面と側面での絶縁膜の材質が異なる場合、あるい半導体層3の上面の絶縁膜が側面の絶縁膜のよりも厚い場合のそれぞれに適用しても良い。   8 and 26 show the case where the gate insulating film 6 having the same film thickness is provided on both the side surface and the upper surface of the semiconductor layer 3 constituting the channel formation region 7. This may be applied to the case where the insulating film materials are different from each other, or when the insulating film on the upper surface of the semiconductor layer 3 is thicker than the insulating film on the side surface.

なお、ここでは半導体層3下の絶縁体(埋め込み絶縁層2)の下に、支持基板であるシリコン基板1がある場合を述べたが、本発明は電界効果型トランジスタを形成する半導体層3の下に何らかの絶縁体があれば適用できる。例えば、サファイア基板上に半導体層3を設けたSOS構造(シリコン・オン・サファイア)等、半導体層層3下の絶縁体自体が支持基板となる構造に対しても適用できる。   Here, the case where the silicon substrate 1 as the supporting substrate is present under the insulator (embedded insulating layer 2) under the semiconductor layer 3 has been described, but the present invention relates to the semiconductor layer 3 forming the field effect transistor. Applicable if there is any insulator below. For example, the present invention can be applied to a structure in which the insulator itself under the semiconductor layer 3 serves as a support substrate, such as an SOS structure (silicon on sapphire) in which the semiconductor layer 3 is provided on the sapphire substrate.

また、支持基板の材質はシリコンでなくとも良く、例えば石英、AlN等の絶縁体であっても良い。この構造は、例えば半導体層3となる単結晶シリコンを、SOI基板の作製に用いられる一般的な張り合わせ工程及び薄膜化工程により、石英、AlN等の絶縁体上に転写すれば形成できる。   The material of the support substrate may not be silicon, and may be an insulator such as quartz or AlN. This structure can be formed, for example, by transferring single crystal silicon to be the semiconductor layer 3 onto an insulator such as quartz or AlN by a general bonding process and thinning process used for manufacturing an SOI substrate.

なお、CMOS構成のインバータ、NANDゲート、NORゲート等のように、ソース/ドレイン領域の一方が専らソースとして使われ、他方が専らドレインとして使われる場合においても、本明細書においては、両者を含めてソース/ドレインと表現している。   Even in the case where one of the source / drain regions is exclusively used as a source and the other is exclusively used as a drain, such as an inverter, a NAND gate, a NOR gate, etc. in a CMOS structure, the present specification includes both. It is expressed as source / drain.

(参考形態2)次に本発明の他の縦型電界効果型トランジスタについて説明する。   Reference Embodiment 2 Next, another vertical field effect transistor of the present invention will be described.

チャネル形成領域7、半導体層3に設ける開口部10、ソース/ドレイン領域4の三者の配置について、参考形態1のトランジスタに対するいくつかの変形例を述べる。   With respect to the three arrangements of the channel formation region 7, the opening 10 provided in the semiconductor layer 3, and the source / drain region 4, some modifications to the transistor of the first embodiment will be described.

図27から図34は図2、図6、図7と同じ位置から見た電界効果型トランジスタの平面図において、特に左端を拡大したものである。   27 to 34 are plan views of the field-effect transistor viewed from the same position as in FIGS. 2, 6, and 7, and particularly the left end is enlarged.

図27から図34に示すいずれの本発明の縦型電界効果型トランジスタでは、半導体層3を横断するように開口部10が配列し、開口部10が配列する方向に沿って半導体層3を跨ぐゲート電極5が設けられる。半導体層3にはゲート電極5及び開口部10を挟んで、高濃度の導電性不純物が導入されたソース/ドレイン域4が設けられている。   In any of the vertical field effect transistors according to the present invention shown in FIGS. 27 to 34, the openings 10 are arranged so as to cross the semiconductor layer 3 and straddle the semiconductor layer 3 along the direction in which the openings 10 are arranged. A gate electrode 5 is provided. The semiconductor layer 3 is provided with a source / drain region 4 into which a high concentration conductive impurity is introduced with the gate electrode 5 and the opening 10 interposed therebetween.

ゲート電極5の下方に位置する半導体層3は不純物濃度が低いチャネル形成領域7を構成し、チャネルは主にチャネル形成領域7を構成する半導体層3の側面に形成される。   The semiconductor layer 3 located below the gate electrode 5 constitutes a channel formation region 7 having a low impurity concentration, and the channel is mainly formed on the side surface of the semiconductor layer 3 constituting the channel formation region 7.

なお、図27から図34には、ゲート電極5と開口部10との位置関係を解りやすくするために、本来はゲート電極5の下に隠れている開口部10の外形線も表示している。またゲート絶縁膜6についても、図を見やすくするために省略している。   27 to 34 also show the outline of the opening 10 that is originally hidden under the gate electrode 5 in order to facilitate understanding of the positional relationship between the gate electrode 5 and the opening 10. . Further, the gate insulating film 6 is also omitted for easy understanding of the drawing.

実際には図27〜図34において、ゲート絶縁膜6がチャネル形成領域7を構成する半導体層3の側面に設けられ、チャネル形成領域7を構成する半導体層3の側面はゲート絶縁膜6を介してゲート電極5に向かい合う。   27 to 34, the gate insulating film 6 is actually provided on the side surface of the semiconductor layer 3 constituting the channel forming region 7, and the side surface of the semiconductor layer 3 constituting the channel forming region 7 is interposed via the gate insulating film 6. Facing the gate electrode 5.

またチャネル形成領域7を構成する半導体層3の上面にはゲート絶縁膜6、またはゲート絶縁膜6よりも等価膜厚の厚い絶縁膜(例えば図11又は図39のパッド酸化膜8とSi34膜9を合わせたもの)が設けられる。 Further, on the upper surface of the semiconductor layer 3 constituting the channel forming region 7, the gate insulating film 6 or an insulating film having a larger equivalent thickness than the gate insulating film 6 (for example, the pad oxide film 8 and Si 3 N in FIG. 11 or FIG. 39). 4 films 9 combined).

二つのソース/ドレイン領域4の間には、二つのソース/ドレイン領域4を接続する半導体領域である伝導経路33が複数設けられた、伝導経路配置領域31が設けられている。この伝導経路配置領域31の構造は図1から図8、及び図35に示したトランジスタ及び、以下に記載するトランジスタ及びその製造方法においても同じである。   Between the two source / drain regions 4, a conduction path arrangement region 31 in which a plurality of conduction paths 33, which are semiconductor regions connecting the two source / drain regions 4, are provided. The structure of the conduction path arrangement region 31 is the same in the transistor shown in FIGS. 1 to 8 and 35, the transistor described below, and the manufacturing method thereof.

図27における斜線部は、伝導経路33のうちの一つを明示したものである。伝導経路33はチャネル形成領域7と、伝導経路33中の高不純物濃度領域であるソース/ドレイン接続部32からなる。チャネル形成領域7はゲート電極5の下部に位置する不純物濃度が低い(あるいは不純物が導入されない)領域である。   A hatched portion in FIG. 27 clearly shows one of the conduction paths 33. The conduction path 33 includes a channel formation region 7 and a source / drain connection portion 32 which is a high impurity concentration region in the conduction path 33. The channel formation region 7 is a region having a low impurity concentration (or no impurity is introduced) located below the gate electrode 5.

伝導経路33中のソース/ドレイン接続部32は、チャネル形成領域7とソース/ドレイン領域4との間に位置し、ソース/ドレイン領域4と同じ導電型の不純物が高濃度に導入された領域である。なお、ゲート電極5の下にソース/ドレイン接続部32の一部、またはソース/ドレイン領域4の一部が位置する場合、それぞれゲート電極5とソース/ドレイン接続部32の間、ゲート電極5とソース/ドレイン4の間に絶縁層が設けられる。この絶縁層の厚さはゲート絶縁膜と同程度であっても良く、またゲート絶縁膜よりも厚くても良い。   The source / drain connection portion 32 in the conduction path 33 is located between the channel formation region 7 and the source / drain region 4 and is a region into which impurities of the same conductivity type as the source / drain region 4 are introduced at a high concentration. is there. When a part of the source / drain connection part 32 or a part of the source / drain region 4 is located under the gate electrode 5, the gate electrode 5 and the source / drain connection part 32 are respectively An insulating layer is provided between the source / drain 4. The thickness of this insulating layer may be the same as that of the gate insulating film, or may be thicker than the gate insulating film.

また伝導経路33の形態は、チャネル形成領域7、伝導経路33中の高不純物濃度領域(ソース/ドレイン接続部32)の両方がゲート電極5の下に配置されるものであっても良い(図28)。   Also, the form of the conduction path 33 may be one in which both the channel formation region 7 and the high impurity concentration region (source / drain connection portion 32) in the conduction path 33 are disposed under the gate electrode 5 (FIG. 28).

さらに、チャネル形成領域7、ソース/ドレイン接続部32に加えて、ソース/ドレイン領域4の一部もゲート電極5の下部に位置する形態を取っても良い(図28)。また伝導経路33中にソース/ドレイン接続部32を持たず、チャネル形成領域7とソース/ドレイン領域4が直接接続する形態としてもよいものである(図29)。   Furthermore, in addition to the channel formation region 7 and the source / drain connection portion 32, a part of the source / drain region 4 may be positioned below the gate electrode 5 (FIG. 28). Further, the source / drain connection portion 32 is not provided in the conduction path 33, and the channel forming region 7 and the source / drain region 4 may be directly connected (FIG. 29).

また、図27〜図29には、開口部10の基板平面への投射形状が、少なくともソース/ドレイン領域4付近において曲線を描く場合を示したが、図30〜図31に示すように、開口部10の形状が六角形、八角形等の多角形であっても良い。また図46〜図49に示すように、ゲート電極5の延長方向(開口部10が配列する方向に同じ)に対して傾いた、ほぼ正方形である四角形であっても良い。また、図33及び34に示すように、開口部10の幅がソース/ドレイン領域4側のある範囲において狭くなる形態を持っても良い。   FIGS. 27 to 29 show the case where the projection shape of the opening 10 onto the substrate plane draws a curve at least near the source / drain region 4, but as shown in FIGS. The shape of the part 10 may be a polygon such as a hexagon or an octagon. Further, as shown in FIGS. 46 to 49, it may be a quadrangle that is substantially square and is inclined with respect to the extending direction of the gate electrode 5 (the same as the direction in which the openings 10 are arranged). 33 and 34, the width of the opening 10 may be narrowed within a certain range on the source / drain region 4 side.

図27〜図31、図33、図34及び図46〜図49に示した実施形態においては、いずれの場合においても、開口部10の配列方向(ソース/ドレインを結ぶ方向に対して垂直で、基板表面に平行な方向)の開口部10の幅Wspは、開口部10中央(二つのソース/ドレインから等距離の位置)における値(図27のWsp1)に比べて、ソース/ドレイン領域付近において小さくなる(例えば図27のWsp2)。逆に、伝導経路33を構成する半導体層3の幅Wsiは、チャネル形成領域中央(二つのソース/ドレインから等距離の位置)における値(図27のWsi1)に比べ、ソース/ドレイン領域4付近において大きくなり(例えば図27のWsi2)、ソース/ドレイン領域4に接続する位置において最大となる。 In any of the embodiments shown in FIGS. 27 to 31, 33, 34, and 46 to 49, the arrangement direction of the openings 10 (perpendicular to the direction connecting the source / drain, The width W sp of the opening 10 in the direction parallel to the substrate surface is a source / drain region as compared to the value (W sp1 in FIG. 27) at the center of the opening 10 (position equidistant from the two sources / drains). It becomes smaller in the vicinity (for example, W sp2 in FIG. 27). On the contrary, the width W si of the semiconductor layer 3 constituting the conduction path 33 is larger than the value (W si1 in FIG. 27) at the center of the channel formation region (position at the same distance from the two sources / drains). 4 increases (for example, W si2 in FIG. 27), and becomes maximum at a position connected to the source / drain region 4.

すなわち、図27〜図31、図33、図34及び図46〜図49の形状は、いずれも、チャネル形成領域7からソース/ドレイン領域4にかけて半導体層3の幅Wsiが広がる形態を持つが、この場合、チャネル形成領域7の横方向の幅Wsi、あるいは少なくともチャネル形成領域7の中央部における幅Wsiが小さくなるので、通常のSOI型電界効果型トランジスタにおいて半導体層を薄膜化することと同じく、Sファクタの改善、短チャネル効果の抑制などに効果があり、トランジスタの特性が向上する。 That is, the shapes of FIGS. 27 to 31, 33, 34, and 46 to 49 all have a form in which the width W si of the semiconductor layer 3 increases from the channel formation region 7 to the source / drain region 4. in this case, since the width W si at the center of the lateral width W si or at least a channel forming region 7, the channel forming region 7 is reduced, reducing the thickness of the semiconductor layer in the conventional SOI-type field effect transistor In the same way, it is effective in improving the S factor and suppressing the short channel effect, and the transistor characteristics are improved.

その一方、ソース/ドレイン領域に接する位置では伝導経路33を構成する半導体層3の幅が大きくなるので、寄生抵抗が低減できる。   On the other hand, since the width of the semiconductor layer 3 constituting the conduction path 33 is increased at the position in contact with the source / drain region, the parasitic resistance can be reduced.

さらに、高濃度の不純物を含む領域であるソース/ドレイン接続部32を有する(図27、図28、図30、図31、図33、図34、図46〜図49の形状)伝導経路33を持つ場合、ソース/ドレイン接続部32とチャネル形成領域7との接触面積が小さくなる。   Furthermore, it has a source / drain connection portion 32 (a shape shown in FIGS. 27, 28, 30, 31, 33, 34, and 46 to 49), which is a region containing a high concentration of impurities. If it has, the contact area between the source / drain connection portion 32 and the channel forming region 7 becomes small.

通常の電界効果型トランジスタにおいて高濃度不純物領域であるドレイン接合を浅く形成した場合、高不純物濃度で接合の浅いソース/ドレインエクステンションを設けた場合、あるいはSOI型電界効果型トランジスタにおいて半導体層を薄膜化することにより高濃度不純物領域であるドレインを薄く形成した場合等と同じく、高濃度不純物領域とチャネル形成領域が接触する部分で、高濃度不純物領域の断面積が減るので、短チャネル効果が抑制され、トランジスタの特性が向上する。   When a drain junction which is a high concentration impurity region is formed shallow in a normal field effect transistor, a source / drain extension with a high impurity concentration and a shallow junction is provided, or a semiconductor layer is thinned in an SOI field effect transistor As in the case where the drain which is the high concentration impurity region is formed thin, the short channel effect is suppressed because the cross-sectional area of the high concentration impurity region is reduced at the portion where the high concentration impurity region and the channel formation region are in contact with each other. The characteristics of the transistor are improved.

本発明によれば、開口部10の配列方向におけるソース/ドレイン接続部32の幅をチャネル形成領域7を構成する半導体層3と接する部分では小さくすることにより短チャネル効果抑制作用が得られると同時に、開口部10の配列方向におけるソース/ドレイン接続部32の幅をソース/ドレイン領域4と接する部分では大きくすることにより寄生抵抗抑制作用が得られ、上述した第3の課題を抑制できる。   According to the present invention, the effect of suppressing the short channel effect can be obtained by reducing the width of the source / drain connection portion 32 in the arrangement direction of the openings 10 in the portion in contact with the semiconductor layer 3 constituting the channel forming region 7. By increasing the width of the source / drain connection portion 32 in the arrangement direction of the openings 10 in the portion in contact with the source / drain region 4, a parasitic resistance suppressing action can be obtained, and the above-described third problem can be suppressed.

また開口部10の形状は図32のような四角形でも良い。この場合、Wsi、Wspはともに一定である。この場合は、構造が単純であり、製造が容易であるという特徴がある。また、以下に述べるように、寄生容量36が小さいという特徴がある。 The shape of the opening 10 may be a quadrangle as shown in FIG. In this case, W si and W sp are both constant. In this case, the structure is simple and the manufacturing is easy. Further, as described below, the parasitic capacitance 36 is small.

次にゲート側面とソース/ドレイン側面間の寄生容量36について、図54〜図57を参照して説明する。図54はゲート端とソース/ドレイン領域4の間に開口(もしくは開口内に絶縁体が埋め込まれた空間)がある場合を示す平面図である。図54に示す形態は、ソース/ドレイン接続部32のうち少なくとも一部がゲートに覆われていない場合に相当する。   Next, the parasitic capacitance 36 between the gate side surface and the source / drain side surface will be described with reference to FIGS. FIG. 54 is a plan view showing a case where there is an opening (or a space in which an insulator is embedded in the opening) between the gate end and the source / drain region 4. The form shown in FIG. 54 corresponds to a case where at least a part of the source / drain connection portion 32 is not covered with the gate.

図55はゲート端とソース/ドレイン領域4の間に開口(もしくは開口内に絶縁体が埋め込まれた空間)がない場合を示す平面図である。図54に示す形態は、ソース/ドレイン接続部32のすべてがゲートに覆われている場合に相当する。   FIG. 55 is a plan view showing a case where there is no opening (or a space in which an insulator is embedded in the opening) between the gate end and the source / drain region 4. The form shown in FIG. 54 corresponds to the case where all of the source / drain connection portions 32 are covered with the gate.

なお図54及び図55では、図を見やすくするために、実際にはゲート電極5の下に隠れている開口部10の外形線及びゲート絶縁膜6の外形線を明示している。   54 and 55, the outline of the opening 10 and the outline of the gate insulating film 6 that are actually hidden under the gate electrode 5 are clearly shown in order to make the drawings easier to see.

図56、図57はそれぞれ図54のA205−A205’線断面図、図55のA206−A206’線断面図である。   56 and 57 are cross-sectional views taken along line A205-A205 'in FIG. 54 and cross-sectional views taken along line A206-A206' in FIG.

図54、図56に示すゲート端とソース/ドレイン領域の間に開口部10がある構造では、ゲート5の側面とソース/ドレイン領域4の側面が開口部10に相当する間隔だけ離れるので、ゲート側面とソース/ドレイン側面間の寄生容量36は小さい。   54 and FIG. 56, in the structure having the opening 10 between the gate end and the source / drain region, the side surface of the gate 5 and the side surface of the source / drain region 4 are separated by a distance corresponding to the opening portion 10. The parasitic capacitance 36 between the side surface and the source / drain side surface is small.

これに対して図55、図57に示すゲート端とソース/ドレイン領域の間に開口部がない構造では、ゲート側面とソース/ドレイン側面の距離が小さいので、ゲート側面とソース/ドレイン側面間の寄生容量36は大きくなり、素子の高速動作に不利になる。   On the other hand, in the structure having no opening between the gate end and the source / drain region shown in FIGS. 55 and 57, the distance between the gate side surface and the source / drain side surface is small. The parasitic capacitance 36 becomes large, which is disadvantageous for high-speed operation of the element.

本発明に係る縦型電界効果型トランジスタの開口部10には、PSGの堆積工程、層間絶縁膜の堆積工程等の絶縁膜を堆積する工程において、SiO2、PSG等の絶縁膜が埋め込まれるが、開口部10内がSiO2、PSG等の絶縁体により完全に満たされていても、あるいは開口部10内に絶縁体に満たされない空洞が残存した場合にも、図54及び図56の構造における寄生容量36が、図55あるいは図57の構造における寄生容量36よりも小さくなることに変わりはない。 In the opening 10 of the vertical field effect transistor according to the present invention, an insulating film such as SiO 2 or PSG is embedded in a process of depositing an insulating film such as a PSG deposition process or an interlayer insulating film deposition process. 54 and 56, even if the opening 10 is completely filled with an insulator such as SiO 2 or PSG, or a cavity that is not filled with the insulator remains in the opening 10. The parasitic capacitance 36 is still smaller than the parasitic capacitance 36 in the structure of FIG. 55 or FIG.

したがって、ソース/ドレイン接続部32のうち、少なくとも一部が、側面、上面ともゲート電極5に覆われていない構造(図27、図30〜図34、及び図46〜図49の構造)は、寄生容量の低減において有利と言える。   Therefore, a structure in which at least a part of the source / drain connection portion 32 is not covered with the gate electrode 5 on both the side surface and the upper surface (the structures in FIGS. 27, 30 to 34, and FIGS. 46 to 49). It can be said that it is advantageous in reducing the parasitic capacitance.

図1、図6、図7、図27〜図34の構造では、チャネル面が(100)面(あるいはこれに等価な面)または(100)面(あるいはこれに等価な面)から小さく傾いた面になるように、開口部10の配列方向が[100]方向(あるいはこれに等価な方向)になるようにする。正方形の開口部10の一辺が開口部10の配列方向に対して45度傾いた図46から図49の構造では、開口部10の配列方向が[110]方向(あるいはこれに等価な方向)になるようにすると、チャネル面が(100)面(あるいはこれに等価な方向)に形成される。   In the structures of FIGS. 1, 6, 7, and 27 to 34, the channel plane is slightly inclined from the (100) plane (or equivalent plane) or the (100) plane (or equivalent plane). The arrangement direction of the openings 10 is set to the [100] direction (or an equivalent direction) so as to be a plane. In the structure shown in FIGS. 46 to 49 in which one side of the square opening 10 is inclined 45 degrees with respect to the arrangement direction of the openings 10, the arrangement direction of the openings 10 is in the [110] direction (or an equivalent direction). As a result, the channel surface is formed in the (100) plane (or an equivalent direction).

チャネル面が(100)面または(100)面から小さく傾いた面に形成されると、界面準位が少ない点、またチャネルキャリアの移動度が大きい点において優れた特性が得られる。   When the channel plane is formed on the (100) plane or a plane inclined slightly from the (100) plane, excellent characteristics can be obtained in that the interface state is small and the channel carrier has a high mobility.

なお、図46から図49は同一のトランジスタに関する図であり、図46は開口部とゲート電極の位置関係を示し、図47はソース/ドレイン及びゲートに対するコンタクト形成後の平面図、図48は半導体層の形状に対する鳥瞰図、図49はゲート電極形成後の鳥瞰図であり、図49においては図を見やすくするためにゲート絶縁膜を省略している。   46 to 49 are diagrams relating to the same transistor, FIG. 46 shows the positional relationship between the opening and the gate electrode, FIG. 47 is a plan view after contact formation with the source / drain and gate, and FIG. 48 is a semiconductor diagram. FIG. 49 is a bird's-eye view of the layer shape, and FIG. 49 is a bird's-eye view after the formation of the gate electrode. In FIG.

また、図49は、ソース/ドレイン接続部32において、マスク膜9とパッド膜8が除去された場合について示している(両者は必ずしも除去されなくても良い)。   FIG. 49 shows a case where the mask film 9 and the pad film 8 are removed in the source / drain connection portion 32 (both may not necessarily be removed).

なお本参考形態に記載した様々な開口部、及びソース/ドレイン接続部の形状は、参考形態1に記載の各種形態に対して適用することができる。また本参考形態に記載した様々な開口部、及びソース/ドレイン接続部の形状は、チャネル形成領域の上部にチャネル形成領域の側面と同じ厚さの絶縁膜があるトランジスタ、チャネル形成領域の上部にチャネル形成領域の側面よりも厚い絶縁膜があるトランジスタ、チャネル形成領域の上部に多層の絶縁膜があるトランジスタに適用でき、これらいずれに適用しても、本発明の効果を得ることができる。   Note that the shapes of various openings and source / drain connection portions described in the present embodiment can be applied to the various embodiments described in Embodiment 1. The shapes of the various openings and source / drain connection portions described in this embodiment are transistors having an insulating film having the same thickness as the side surface of the channel formation region above the channel formation region, and above the channel formation region. The present invention can be applied to a transistor having an insulating film thicker than the side surface of the channel formation region and a transistor having a multilayer insulating film above the channel formation region, and the effects of the present invention can be obtained by applying to any of these.

(参考形態3)次に本発明に係る参考形態1及び参考形態2に示した縦型電界効果型トランジスタを製造する製造方法を工程順に説明する。   Reference Embodiment 3 Next, a manufacturing method for manufacturing the vertical field effect transistor shown in Reference Embodiment 1 and Reference Embodiment 2 according to the present invention will be described in the order of steps.

図9に示すように、シリコン基板1上に厚さ100nmのSiO2よりなる埋め込み絶縁層2を持ち、その上部に厚さ120nmの単結晶シリコン層よりなる半導体層3を持つSOI(シリコン・オン・インシュレータ)基板を用意する。 As shown in FIG. 9, an SOI (silicon-on-silicon) has a buried insulating layer 2 made of SiO 2 having a thickness of 100 nm on a silicon substrate 1 and a semiconductor layer 3 made of a single crystal silicon layer having a thickness of 120 nm on the upper portion.・ Insulator) Prepare the board.

次に半導体層3の上面を20nm熱酸化することにより半導体層3上にパッド酸化膜8を設け、パッド酸化膜8上にCVD法により厚さ50nmのSi34膜9を設ける。 Next, the pad oxide film 8 is provided on the semiconductor layer 3 by thermally oxidizing the upper surface of the semiconductor layer 3 by 20 nm, and the Si 3 N 4 film 9 having a thickness of 50 nm is provided on the pad oxide film 8 by the CVD method.

次にリソグラフィ工程により、開口部が配列したパターンを持つレジストパターンを設け、これをマスクとして、RIE等の通常のエッチング工程によりパッド酸化膜8及びSi34膜9をパターニングする。 Next, a resist pattern having a pattern in which openings are arranged is provided by a lithography process, and the pad oxide film 8 and the Si 3 N 4 film 9 are patterned by a normal etching process such as RIE using the resist pattern as a mask.

次に図10に示すように、開口部10が配列したパターンを含む一定の領域(例えば図9においてA9の点線で囲んだ範囲)を覆うレジストパターンを設け、このレジストパターンをマスクとして、Si34膜9、パッド酸化膜8をRIEによりパターニングする。 Next, as shown in FIG. 10, a resist pattern covering a certain area (e.g., a range surrounded by a dotted line in the FIG. 9 A9) comprising a pattern of openings 10 are arrayed is provided, using the resist pattern as a mask, Si 3 The N 4 film 9 and the pad oxide film 8 are patterned by RIE.

引き続いてレジストを除去したのち、残ったSi34膜9、パッド酸化膜8をマスクとして、シリコンに対するエッチング速度がSi34膜に対するエッチング速度より速い選択的なRIE(リアクティブイオンエッチング、反応性イオンエッチング)を行い、半導体層3をパターニングする。 Subsequently, the resist is removed, and the remaining Si 3 N 4 film 9 and the pad oxide film 8 are used as a mask to selectively etch RIE (reactive ion etching, which is faster than the etching speed for the Si 3 N 4 film). Reactive ion etching) is performed to pattern the semiconductor layer 3.

図10に示す段階で、一定の領域(この場合、A9の点線で囲んだ範囲)以外のSi34膜9、パッド酸化膜8、半導体層3が取り除かれる。 At the stage shown in FIG. 10, the Si 3 N 4 film 9, the pad oxide film 8, and the semiconductor layer 3 other than a certain region (in this case, the range surrounded by the dotted line A9) are removed.

またシリコンのエッチングに続いて、SiO2に対するエッチング速度がSi34膜に対するエッチング速度より速い選択的RIEを行うことにより、開口部10においてSiO2膜2の上端が半導体層3の下端よりも下に位置する形状(図8)、あるいは開口部10においてSiO2膜2の表面が傾斜した形状(図26)を得ることもできる。またSi34膜9とパッド膜(パッド酸化膜8)の二層構造は、Si34膜9だけの単層構造であっても良い(以下、適宜、単層構造と多層構造のものを併せて、マスク膜9という)。 Further, following the silicon etching, by performing selective RIE in which the etching rate for SiO 2 is higher than the etching rate for the Si 3 N 4 film, the upper end of the SiO 2 film 2 in the opening 10 is higher than the lower end of the semiconductor layer 3. It is also possible to obtain a shape located below (FIG. 8) or a shape in which the surface of the SiO 2 film 2 is inclined in the opening 10 (FIG. 26). Further, the two-layer structure of the Si 3 N 4 film 9 and the pad film (pad oxide film 8) may be a single-layer structure of only the Si 3 N 4 film 9 (hereinafter referred to as a single-layer structure and a multilayer structure as appropriate). These are collectively referred to as mask film 9).

またマスク膜の材質は半導体層3を選択的にエッチングできる材料であれば良く、例えばSiO2でも良い。また、開口部10の形状は、ここに示した形に限らない。例えば、図27〜図34、図46から図49に示した形であっても良い。ここで述べた工程においてSiO2からなるパッド膜8を設けた主な理由は、Si34膜9と半導体層3が直接接触することによって半導体層3に応力がかかることを防ぐこと、Si34膜9と半導体層3が直接接触することによってSi34膜9と半導体層3との界面に多量の界面準位が発生することを防ぐこと等、Si34膜9と半導体層3が直接接触することにより発生する問題を避けることにある。Si34膜9と半導体層3が直接接触させることにより発生
する問題の影響が小さい場合は、パッド酸化膜8を省略しても良い。
The material of the mask layer may be any material capable of selectively etching the semiconductor layer 3, for example, it may be SiO 2. Further, the shape of the opening 10 is not limited to the shape shown here. For example, the shapes shown in FIGS. 27 to 34 and FIGS. 46 to 49 may be used. The main reason for providing the pad film 8 made of SiO 2 in the process described here is to prevent the semiconductor layer 3 from being stressed by direct contact between the Si 3 N 4 film 9 and the semiconductor layer 3, and Si 3 N 4 film 9 and that such semiconductor layer 3 prevents a large amount of interface states generated at the interface between the Si 3 N 4 film 9 and the semiconductor layer 3 by direct contact with the Si 3 N 4 film 9 The purpose is to avoid a problem caused by direct contact of the semiconductor layer 3. The pad oxide film 8 may be omitted when the influence of problems caused by direct contact between the Si 3 N 4 film 9 and the semiconductor layer 3 is small.

また図10に示す構造を形成した後、、エッチングにより半導体層3に開口部10を形成し、その後埋め込み絶縁層2の上部をエッチングする場合、エッチングによりマスク膜が全て失われることを防ぐために、マスク膜の材質と埋め込み絶縁層2の材質との組み合わせを、埋め込み絶縁層だけを選択的にエッチングできるように選ぶことが好ましい。また、この条件が成り立たない組み合わせである場合は、以下のようにする。例えば、マスク膜9が埋め込み絶縁層2と同じSiO2である場合、埋め込み絶縁層2のエッチング時にマスク膜9の一部が除去されることを見込んで、マスク膜9を厚めにすれば良い。一般的に言えば、開口部10における半導体層3のエッチング後に埋め込み絶縁層2をエッチングする場合で、かつ埋め込み絶縁層2の材質とマスク膜9の材質が同じである場合、埋め込み絶縁層2をエッチングする深さTboxovよりも、マスク膜の厚さTmaskを大きくすれば良い。 In addition, after forming the structure shown in FIG. 10, when the opening 10 is formed in the semiconductor layer 3 by etching and then the upper portion of the buried insulating layer 2 is etched, in order to prevent the mask film from being completely lost by etching, It is preferable to select a combination of the material of the mask film and the material of the buried insulating layer 2 so that only the buried insulating layer can be selectively etched. Further, when the combination does not satisfy this condition, the following is performed. For example, when the mask film 9 is the same SiO 2 as the buried insulating layer 2, the mask film 9 may be thickened in anticipation that part of the mask film 9 is removed when the buried insulating layer 2 is etched. Generally speaking, when the buried insulating layer 2 is etched after the semiconductor layer 3 is etched in the opening 10 and the material of the buried insulating layer 2 and the material of the mask film 9 are the same, the buried insulating layer 2 is The mask film thickness T mask may be made larger than the etching depth T boxov .

また、半導体層3が露出した後、半導体層3の表面にゲート絶縁膜を形成する前に露出した半導体層3の側面の平坦化と清浄化を行うための熱処理工程を追加しても良く、例えば水素アニールを実施する。典型的な水素アニールの条件は10〜50000Pa、850〜1100℃、5〜60分程度とする。但し、特に開口部10間の間隔が狭く半導体層3の基板平面方向の厚さが薄い場合には、半導体層3の凝集を避けるため、より短時間、あるいはより低温で熱処理しても良い。また水素雰囲気中にHCl等、他の気体を混合しても良い。   Further, after the semiconductor layer 3 is exposed, a heat treatment step for planarizing and cleaning the side surface of the exposed semiconductor layer 3 before forming a gate insulating film on the surface of the semiconductor layer 3 may be added. For example, hydrogen annealing is performed. Typical hydrogen annealing conditions are 10 to 50000 Pa, 850 to 1100 ° C., and about 5 to 60 minutes. However, particularly when the distance between the openings 10 is narrow and the thickness of the semiconductor layer 3 in the substrate plane direction is thin, the semiconductor layer 3 may be heat-treated in a shorter time or at a lower temperature in order to avoid aggregation of the semiconductor layer 3. Further, other gas such as HCl may be mixed in the hydrogen atmosphere.

また半導体層3を横断するように配列する開口部10を設けたのち、露出した半導体層3の側面をSiO2膜で覆い、温度980℃以上(より望ましくは温度1200℃以上)、1時間以上の熱処理を実施することにより、露出した半導体層3の側面を平坦化する工程を追加しても良い。ここで、980℃以上の温度はSiO2膜に流動性を持たせるために必要な温度であり、1200℃以上の温度は流動の顕著化に必要な温度である。熱処理は窒素中で行うか、あるいはArなどの不活性ガス中で行う。また熱処理を行う雰囲気に酸素を混合し、露出した半導体層3の側面を酸化させることにより、チャネル形成領域7を構成する半導体層3の幅Wsiを小さく(チャネル形成領域7を構成する半導体層3の基板平面方向の厚さを薄く)する工程を実施しても良い。 Further, after providing the opening 10 arranged so as to cross the semiconductor layer 3, the exposed side surface of the semiconductor layer 3 is covered with a SiO 2 film, and the temperature is 980 ° C. or higher (more preferably, temperature 1200 ° C. or higher) for 1 hour or longer. A step of planarizing the exposed side surface of the semiconductor layer 3 may be added by performing the heat treatment. Here, a temperature of 980 ° C. or higher is a temperature necessary for imparting fluidity to the SiO 2 film, and a temperature of 1200 ° C. or higher is a temperature required for remarkable flow. The heat treatment is performed in nitrogen or in an inert gas such as Ar. Further, oxygen is mixed in an atmosphere for heat treatment, and the exposed side surface of the semiconductor layer 3 is oxidized to reduce the width Wsi of the semiconductor layer 3 constituting the channel formation region 7 (the semiconductor layer constituting the channel formation region 7). (3) the step of reducing the thickness in the substrate plane direction) may be performed.

また半導体層3を横断するように配列する開口部10を設けたのち、露出した半導体層3の側面を絶縁膜で覆う。この絶縁膜は、例えばSiO2膜、Si34膜等の絶縁体よりなり、また例えば複数の絶縁体からなる多層膜よりなる。レーザービーム、電子ビーム等のビーム、電気ヒータ等の熱源により加熱することによって、伝導経路あるいはチャネル形成領域が形成される半導体領域(半導体層)のうち側面付近の一部領域を溶融して、再結晶化させる工程を行っても良い。 In addition, after the opening 10 arranged so as to cross the semiconductor layer 3 is provided, the exposed side surface of the semiconductor layer 3 is covered with an insulating film. This insulating film is made of an insulator such as a SiO 2 film or a Si 3 N 4 film, and is made of a multilayer film made of a plurality of insulators, for example. By heating with a heat source such as a laser beam, an electron beam, or an electric heater, a semiconductor region (semiconductor layer) in which a conduction path or a channel formation region is formed melts a partial region near the side surface, You may perform the process to crystallize.

また、同じくレーザービーム、電子ビーム等のビーム、電気ヒータ等の熱源により加熱することにより、伝導経路あるいはチャネル形成領域が形成される半導体領域(突起形状の半導体層)の全体を溶融し、溶融した領域を再結晶化しても良い。この工程の目的は、RIE工程により半導体層3の側面に発生した凹凸を平坦化することである。レーザービームや電子ビーム等のビームのパワー及びエネルギー、電気ヒータの温度、ビーム及び電気ヒータの走査速度は、望ましくは伝導経路あるいはチャネル形成領域が形成される半導体領域(突起形状の半導体層)の表面だけが溶融してその内部は溶融しないか、あるいは伝導経路が形成される突起部は溶融してソース/ドレイン領域が形成される半導体領域(突起形状の半導体層)は溶融しない程度に設定されることが好ましい。   Similarly, by heating with a heat source such as a laser beam or an electron beam, or an electric heater, the entire semiconductor region (projection-shaped semiconductor layer) in which the conduction path or channel formation region is formed is melted. The region may be recrystallized. The purpose of this process is to flatten the unevenness generated on the side surface of the semiconductor layer 3 by the RIE process. The power and energy of a beam such as a laser beam or an electron beam, the temperature of an electric heater, and the scanning speed of the beam and electric heater are preferably the surface of a semiconductor region (projection-shaped semiconductor layer) where a conduction path or a channel formation region is formed. The semiconductor region (projection-shaped semiconductor layer) in which the source / drain region is formed is not melted by melting the projecting portion where the conduction path is formed or melting the projecting portion where the conduction path is formed. It is preferable.

これは、ビーム走査の後、基板の温度が低下する過程で、それぞれ溶融していない半導体領域(突起形状の半導体層)の内部の領域、あるいは溶融していないソース/ドレイン領域を種結晶(シード)として、溶融した領域を再結晶化させるためである。   This is because, in the process of lowering the temperature of the substrate after beam scanning, a seed crystal (seed) is formed in a region inside a semiconductor region that is not melted (projection-shaped semiconductor layer) or a source / drain region that is not melted. ) For recrystallization of the molten region.

また溶融再結晶化に伴い、埋め込み絶縁層2中に発生した固定電荷またはトラップ等の欠陥を除去することを目的として、溶融結晶化後に高温の熱処理工程(1000℃以上、典型的には1300〜1360℃、1時間以上、酸化雰囲気または非酸化雰囲気)、または酸化雰囲気中のより低温の熱処理工程を行っても良い。   Further, with the purpose of removing defects such as fixed charges or traps generated in the buried insulating layer 2 due to the melt recrystallization, a high-temperature heat treatment step (1000 ° C. or more, typically 1300 ° C. or more after melt crystallization) is performed. (1360 ° C., 1 hour or longer, oxidizing atmosphere or non-oxidizing atmosphere), or a lower temperature heat treatment step in an oxidizing atmosphere may be performed.

次にCVD法を用いて、SiO2よりなるダミーゲート絶縁膜18を形成するための絶縁膜を10nm堆積し、RIEによりエッチバック(平坦部に堆積した材料膜を除去して、側壁部に堆積した材料膜を残す工程)することにより、半導体層3における開口部10の内壁及び半導体層3の側面(素子領域をなす半導体層3の周囲の側面)にダミーゲート絶縁膜18を設ける。 Next, 10 nm of an insulating film for forming the dummy gate insulating film 18 made of SiO 2 is deposited by CVD, and etched back by RIE (the material film deposited on the flat portion is removed and deposited on the side wall portion). The dummy gate insulating film 18 is provided on the inner wall of the opening 10 in the semiconductor layer 3 and the side surface of the semiconductor layer 3 (the side surface around the semiconductor layer 3 forming the element region).

引き続いてCVDによりポリシリコンを堆積し、これを通常のリソグラフィ及びRIEにより加工し、ダミーゲート電極11を設ける。この段階での形状は、パッド酸化膜8、Si34膜9が存在すること、ゲート絶縁膜6及びゲート電極5の代わりにそれぞれダミーゲート絶縁膜18、ダミーゲート電極11があることを除けば、図1と同様である(図39においてダミーゲート電極11が設けられた形状に相当。但し、図39では図を見やすくするため、ダミーゲート絶縁膜18を省略)。 Subsequently, polysilicon is deposited by CVD, and this is processed by normal lithography and RIE to provide a dummy gate electrode 11. The shape at this stage is that the pad oxide film 8 and the Si 3 N 4 film 9 are present, and that the dummy gate insulating film 18 and the dummy gate electrode 11 are provided in place of the gate insulating film 6 and the gate electrode 5, respectively. 1 is equivalent to the shape in which the dummy gate electrode 11 is provided in FIG. 39 (however, the dummy gate insulating film 18 is omitted in FIG. 39 for easy understanding of the drawing).

ここで、ダミーゲート絶縁膜18及びダミーゲート電極11を形成したのは、後に、これらを除去して得られた空間に、改めてゲート絶縁膜6及びゲート電極5を形成する、いわゆる置換ゲート工程を実施するための準備である。   Here, the dummy gate insulating film 18 and the dummy gate electrode 11 are formed by a so-called replacement gate process in which the gate insulating film 6 and the gate electrode 5 are formed again in a space obtained by removing them later. It is preparation for implementation.

置換ゲート工程を行わない場合は、ここでダミーゲート絶縁膜18を形成する代わりにゲート絶縁膜6を、ダミーゲート電極11を形成する代わりにゲート電極5をそれぞれ形成し(図39においてゲート電極5が設けられた形状に相当。但し、図39では図を見やすくするため、ゲート絶縁膜6を省略)、引き続いて、以下に述べるソース/ドレイン接続領域への不純物導入、ソース/ドレインの形成、配線の形成を実施してトランジスタを形成すれば良い。この場合、図11から図16に至る工程において、ダミーゲート絶縁膜18に代えてゲート絶縁膜6が、ダミーゲート電極11に代えてゲート電極5が設けられた形状が得られる。   When the replacement gate process is not performed, the gate insulating film 6 is formed instead of forming the dummy gate insulating film 18 and the gate electrode 5 is formed instead of forming the dummy gate electrode 11 (the gate electrode 5 in FIG. 39). 39. However, in FIG. 39, the gate insulating film 6 is omitted for easy understanding of the drawing), and subsequently, introduction of impurities into the source / drain connection region described below, formation of the source / drain, wiring The transistor may be formed by performing the above. In this case, in the process from FIG. 11 to FIG. 16, a shape in which the gate insulating film 6 is provided in place of the dummy gate insulating film 18 and the gate electrode 5 is provided in place of the dummy gate electrode 11 is obtained.

また、ここ(図11に至る工程)で、ダミーゲート絶縁膜18をCVDにより堆積したのは、もしも熱酸化によりダミーゲート絶縁膜18を形成すると、ダミーゲート絶縁膜18の除去後に、マスク膜(この場合はパッド酸化膜8とSi34膜9の二層膜)の基板平面方向の幅よりもチャネル形成領域7を構成する半導体層3の基板平面方向幅が狭くなるために、マスク膜の下部でチャネル形成領域7を構成する半導体層3がマスク膜の端よりも後退して段差が発生し、垂直方向の平坦性が悪化しやすいという問題を防ぐことに特に注意を払ったためである。 Further, here, the dummy gate insulating film 18 is deposited by CVD if the dummy gate insulating film 18 is formed by thermal oxidation. After the dummy gate insulating film 18 is removed, the mask film ( In this case, the width in the substrate plane direction of the semiconductor layer 3 constituting the channel forming region 7 is narrower than the width in the substrate plane direction of the pad oxide film 8 and the Si 3 N 4 film 9). This is because the semiconductor layer 3 constituting the channel formation region 7 is retreated from the edge of the mask film to form a step and the vertical flatness is liable to be deteriorated. .

しかし、一般には、ゲート絶縁膜6及びダミーゲート絶縁膜18は、SiO2以外の絶縁膜であっても良く、また熱酸化により形成したSiO2膜であっても良い。一般にダミーゲート絶縁膜18は、半導体層3に対して選択的に除去可能な材料であれば良い。 In general, however, the gate insulating film 6 and the dummy gate insulating film 18 may be an insulating film other than SiO 2, or may be a SiO 2 film formed by thermal oxidation. In general, the dummy gate insulating film 18 may be any material that can be selectively removed from the semiconductor layer 3.

また、ダミーゲート電極11をSi34等、半導体層3に対して選択的に除去できる材料により形成しても良く、ダミーゲート電極11が半導体層3に対して選択的に除去できる場合にはダミーゲート絶縁膜18を省略しても良い。 Further, the dummy gate electrode 11 may be formed of a material that can be selectively removed from the semiconductor layer 3 such as Si 3 N 4 , and when the dummy gate electrode 11 can be selectively removed from the semiconductor layer 3. The dummy gate insulating film 18 may be omitted.

引き続いてSi34膜に対して選択性のある条件下でRIEを実施してダミーゲート電極11の下部以外のダミーゲート絶縁膜を除去し、次いで全体にPSG(リンガラス)膜12を200nm堆積し、RIEによりこれをエッチバックすることにより、開口部10の内壁と、半導体層3の側面に側壁状のPSG膜12を設ける。 Subsequently, RIE is performed under conditions selective to the Si 3 N 4 film to remove the dummy gate insulating film other than the lower part of the dummy gate electrode 11, and then the PSG (phosphorus glass) film 12 is entirely formed to a thickness of 200 nm. By depositing and etching back by RIE, a sidewall-shaped PSG film 12 is provided on the inner wall of the opening 10 and the side surface of the semiconductor layer 3.

この段階での構造を、図10のA10−A10’線断面図である図11、図10のB10−B10’線断面図である図12、図10のC10−C10’線断面図である図13に示す。   FIG. 11 is a cross-sectional view taken along line A10-A10 ′ of FIG. 10, FIG. 12 is a cross-sectional view taken along line B10-B10 ′ of FIG. 10, and is a cross-sectional view taken along line C10-C10 ′ of FIG. It is shown in FIG.

この工程においてPSGを堆積するのは、開口部10の内壁にPSGを付着させ、ゲート電極(またはダミーゲート電極)5の両側の開口部10に隣接する半導体領域にPSGから高濃度のリンを拡散させ、ゲート電極5の両側の半導体層3に高濃度(5×1018cm-3以上、好ましくは3×1019cm-3以上)のリンを導入し、ソース/ドレイン接続部32を形成することにある。 In this process, PSG is deposited by attaching PSG to the inner wall of the opening 10 and diffusing high-concentration phosphorus from the PSG into the semiconductor region adjacent to the opening 10 on both sides of the gate electrode (or dummy gate electrode) 5. Then, high concentration (5 × 10 18 cm −3 or more, preferably 3 × 10 19 cm −3 or more) phosphorus is introduced into the semiconductor layer 3 on both sides of the gate electrode 5 to form the source / drain connection portion 32. There is.

なお、PSGからリンを拡散させるための熱処理(例えば800℃10秒)は、PSGの堆積直後に行っても良いし、PSGの堆積後、いくつかの工程を経た後に行っても良い。PSGの堆積後に行われる他の熱工程(例えばソース/ドレインへのイオン注入後の活性化、ゲート酸化)の際に同時にPSGからリンを拡散させる方法を用いても良い。   Note that the heat treatment (for example, 800 ° C. for 10 seconds) for diffusing phosphorus from PSG may be performed immediately after PSG deposition, or may be performed after several steps after PSG deposition. A method of diffusing phosphorus from PSG at the same time as another thermal process (for example, activation after ion implantation into the source / drain, gate oxidation) performed after deposition of PSG may be used.

図14は、開口部10のソース/ドレイン方向の幅が大きい場合で、開口部10がPSGによって埋め尽くされていないが、この場合においても、開口部10の内壁へのPSGの付着は保証されるので、問題はない。図15は、図14に対応する状態における平面図である。PSGからの熱拡散によりn+型ソース/ドレイン領域4が形成された状態のB10−B10’線断面に相当する位置における断面図を図16に示す。 FIG. 14 shows the case where the width of the opening 10 in the source / drain direction is large, and the opening 10 is not filled with PSG. However, even in this case, the adhesion of PSG to the inner wall of the opening 10 is guaranteed. So there is no problem. FIG. 15 is a plan view in a state corresponding to FIG. FIG. 16 shows a cross-sectional view at a position corresponding to a cross section taken along line B10-B10 ′ in a state where the n + -type source / drain regions 4 are formed by thermal diffusion from PSG.

なお、pチャネルトランジスタの場合は、BSG(ホウ素ガラス)など、p型不純物の拡散源をPSGに代えて用いる。またnチャネルトランジスタの場合においても、PSG以外のn型不純物拡散源(例えばヒ素ガラス)をPSGに代えて用いても良い。また、p型不純物であるホウ素と、n型不純物であるリンの両方を含むBPSG(ホウ素、リンガラス)において、ホウ素またはリンの一方の割合を高めたものを、それぞれp型またはn型のトランジスタの製造に用いても良い。   In the case of a p-channel transistor, a p-type impurity diffusion source such as BSG (boron glass) is used instead of PSG. Also in the case of an n-channel transistor, an n-type impurity diffusion source (for example, arsenic glass) other than PSG may be used instead of PSG. In addition, in BPSG (boron, phosphorous glass) containing both p-type impurity boron and n-type impurity phosphorus, a p-type or n-type transistor in which one of boron and phosphorus is increased is used. You may use for manufacture.

ゲート電極5の両側、開口部10から離れた部分の半導体層3には、通常の工程によりソース/ドレイン領域を形成する。例えばイオン注入、プラズマドーピング等により、nチャネルトランジスタの場合はn型不純物、pチャネルトランジスタの場合はp型不純物を高濃度(3×1019cm-3以上、好ましくは1×1020cm-3〜3×1020cm-3)に導入する。n型不純物には例えばリン、ヒ素等ドナーを形成する不純物、p型不純物には例えばホウ素等アクセプタを形成する不純物を用いる。また、ソース/ドレイン領域に対して寄生抵抗低減のために半導体のエピタキシャル成長、多結晶またはアモルファス半導体の選択成長を施しても良く、またシリサイド化を行っても良い。 Source / drain regions are formed in the semiconductor layer 3 on both sides of the gate electrode 5 and away from the opening 10 by a normal process. For example, by ion implantation, plasma doping, or the like, an n-type impurity in the case of an n-channel transistor and a p-type impurity in the case of a p-channel transistor are highly concentrated (3 × 10 19 cm −3 or more, preferably 1 × 10 20 cm −3 ˜3 × 10 20 cm −3 ). For example, an impurity that forms a donor such as phosphorus or arsenic is used as the n-type impurity, and an impurity that forms an acceptor such as boron is used as the p-type impurity. Further, for the purpose of reducing parasitic resistance, the source / drain regions may be subjected to epitaxial growth of a semiconductor, selective growth of a polycrystalline or amorphous semiconductor, or silicidation.

なお、半導体層3上のマスク膜9は、ダミーゲート電極11(あるいはこれに代わるゲート電極5)の加工時に、半導体層3を保護する目的で設けられたものであるが、ソース/ドレイン領域への不純物導入工程、あるいはソース/ドレイン領域のシリサイド化工程には不要であるので、ダミーゲート電極11(あるいはこれに代わるゲート電極5)をRIEにより加工して形成した後、ソース/ドレイン領域へ不純物を導入する以前のいずれかの段階においてRIEあるいはウェットエッチングにより除去されることが望ましい。   Note that the mask film 9 on the semiconductor layer 3 is provided for the purpose of protecting the semiconductor layer 3 during the processing of the dummy gate electrode 11 (or the gate electrode 5 in place thereof). Therefore, after the dummy gate electrode 11 (or the gate electrode 5 in place thereof) is formed by processing by RIE, the impurity is introduced into the source / drain region. It is desirable to be removed by RIE or wet etching at any stage prior to the introduction of.

PSGの堆積後、PSGをRIEによりエッチバックし、PSGよりなる側壁を形成する工程において、ゲート電極下部とPSG側壁下部を除いた領域のマスク膜9、パッド酸化膜8を同時に除去すれば、図16のようにソース/ドレイン領域を形成する領域で半導体層3の上面が露出する形状が得られる。   After the PSG is deposited, the PSG is etched back by RIE, and in the step of forming the side wall made of PSG, if the mask film 9 and the pad oxide film 8 in the region excluding the lower part of the gate electrode and the lower part of the PSG side wall are removed simultaneously Thus, a shape in which the upper surface of the semiconductor layer 3 is exposed in the region where the source / drain regions are formed as shown in FIG.

また、一旦マスク膜9、パッド酸化膜8を残したままPSG側壁を形成し(図12、図13)、PSGからの不純物拡散後、ソース/ドレイン領域の形成前に、マスク膜9、パッド酸化膜8を除去する事を目的としたRIEを実施しても良い(この時、PSGの上部も除去されるが、PSGからの不純物拡散は既に実施した後なので問題はない。)。   Further, the PSG sidewall is formed with the mask film 9 and the pad oxide film 8 left (FIGS. 12 and 13), and after the impurity diffusion from the PSG, the mask film 9 and the pad oxide are formed before the source / drain regions are formed. RIE may be performed for the purpose of removing the film 8 (at this time, the upper portion of the PSG is also removed, but there is no problem because impurity diffusion from the PSG has already been performed).

またダミーゲート電極11(あるいはこれに代わるゲート電極5)をRIEにより加工した後、PSGの堆積以前に、RIE等のエッチング工程によりマスク膜9及びパッド酸化膜8を除去しても良い。この場合、種々の工程を経て最終的に得られる素子形状は図38に示したものとなる。PSGの堆積以後のいずれかの段階に、マスク膜9、パッド酸化膜8を除去した場合は、最終的に図36の形状が得られる。   Alternatively, the mask film 9 and the pad oxide film 8 may be removed by an etching process such as RIE after the dummy gate electrode 11 (or the gate electrode 5 instead thereof) is processed by RIE and before the deposition of PSG. In this case, the element shape finally obtained through various steps is as shown in FIG. If the mask film 9 and the pad oxide film 8 are removed at any stage after the deposition of PSG, the shape of FIG. 36 is finally obtained.

PSGの堆積及びエッチバック後、CVDによりSiO2を堆積して層間絶縁膜13とし、ダミーゲート電極11をストッパとしてCMPにより層間絶縁膜13を平坦化する。この時、同時にダミーゲート電極11の上部を露出させる。続いてRIEによりダミーゲート電極11を除去し、次にRIEによりダミーゲート絶縁膜18を除去する。 After the PSG is deposited and etched back, SiO 2 is deposited by CVD to form an interlayer insulating film 13, and the interlayer insulating film 13 is planarized by CMP using the dummy gate electrode 11 as a stopper. At this time, the upper portion of the dummy gate electrode 11 is exposed at the same time. Subsequently, the dummy gate electrode 11 is removed by RIE, and then the dummy gate insulating film 18 is removed by RIE.

続いて熱酸化によりゲート絶縁膜14を2nm形成し、ダミーゲート電極11を除去して得られたスリット中にTiN等の導電性材料をスパッタ法により埋め込み、これをゲート電極5とする(図18、図19)。   Subsequently, a gate insulating film 14 having a thickness of 2 nm is formed by thermal oxidation, and a conductive material such as TiN is buried in the slit obtained by removing the dummy gate electrode 11 by sputtering to form the gate electrode 5 (FIG. 18). , FIG. 19).

なお、図19はゲート絶縁膜14を熱酸化により形成した場合の形状、図18はゲート絶縁膜14をCVDにより形成した場合の形状である。   FIG. 19 shows a shape when the gate insulating film 14 is formed by thermal oxidation, and FIG. 18 shows a shape when the gate insulating film 14 is formed by CVD.

その後、ゲート電極及びソース/ドレイン領域上の層間絶縁膜に開口(それぞれゲートコンタクト17形成用開口、ソース/ドレインコンタクト16形成用開口)を設けたのち、Al等の金属材料をスパッタ、CVD等で堆積した後、これをパターニングし、配線24を設けると、図35〜図38に示した電界効果型トランジスタが得られる。ここではゲート電極5に接続する配線を描いていないが、ソース/ドレイン領域4へのソース/ドレインコンタクト16を介した接続と同様に、ゲート電極5へゲートコンタクト17を介して配線が接続される。   Thereafter, openings are formed in the interlayer insulating film on the gate electrode and the source / drain regions (respectively, an opening for forming the gate contact 17 and an opening for forming the source / drain contact 16). After the deposition, this is patterned and the wiring 24 is provided, whereby the field effect transistors shown in FIGS. 35 to 38 are obtained. Here, although the wiring connected to the gate electrode 5 is not drawn, the wiring is connected to the gate electrode 5 via the gate contact 17 in the same manner as the connection to the source / drain region 4 via the source / drain contact 16. .

なお、図36及び図38は図35のB41−B41’線断面図、図37は図365のC41−C41’線断面図である。但し、図36はPSGの堆積以前に、マスク膜9及びパッド酸化膜8を除去した場合、図38はPSGの堆積以後に、マスク膜9及びパッド酸化膜8を除去した場合を示す。また、図37は、開口部がPSGにより全て満たされない場合(図14)について示した。   36 and 38 are cross-sectional views taken along line B41-B41 'in FIG. 35, and FIG. 37 is a cross-sectional view taken along line C41-C41' in FIG. However, FIG. 36 shows the case where the mask film 9 and the pad oxide film 8 are removed before the deposition of PSG, and FIG. 38 shows the case where the mask film 9 and the pad oxide film 8 are removed after the deposition of PSG. FIG. 37 shows the case where the openings are not completely filled with PSG (FIG. 14).

ダミーゲート絶縁膜をRIEで除去した後、ダミーゲート絶縁膜をRIEによる除去する際に半導体層に生じたダメージ及び汚染を除去するために、チャネル形成領域を構成する半導体層の表面をドライエッチングにより一部除去しても良い。この際のドライエッチングには、等方性のエッチングが好ましい。エッチングガスとしては、Cl2、CF4、CHF3、HCl等を用いれば良い。また、ここでドライエッチングを施すと同時に、半導体層をより薄膜化することを目的に、チャネル形成領域を構成する半導体層を両側面からエッチングしても良い。例えば、短チャネル効果を抑制することを目的に、半導体層の幅が5〜10nm程度になるまで薄膜化を行っても良い。   After removing the dummy gate insulating film by RIE, the surface of the semiconductor layer constituting the channel formation region is removed by dry etching in order to remove damage and contamination generated in the semiconductor layer when the dummy gate insulating film is removed by RIE. A part may be removed. In this case, isotropic etching is preferable for dry etching. Etching gas may be Cl2, CF4, CHF3, HCl, or the like. In addition, at the same time as performing dry etching, the semiconductor layer constituting the channel formation region may be etched from both side surfaces in order to make the semiconductor layer thinner. For example, thinning may be performed until the width of the semiconductor layer becomes about 5 to 10 nm for the purpose of suppressing the short channel effect.

勿論、ダミーゲート絶縁膜18、ダミーゲート電極11を形成する工程において、これらに代えてゲート酸化膜6、ゲート電極5が形成されている場合は、ダミーゲート絶縁膜の除去から、導電性材料の埋め込みによるゲート電極5の形成に至る上記の工程を必要としない。   Of course, in the step of forming the dummy gate insulating film 18 and the dummy gate electrode 11, if the gate oxide film 6 and the gate electrode 5 are formed instead of these, the conductive material is removed from the removal of the dummy gate insulating film. The above steps leading to the formation of the gate electrode 5 by embedding are not required.

また、半導体層が露出した後、半導体層の表面にゲート絶縁膜を形成する前に露出した半導体層の側面の平坦化と清浄化を行うための熱処理工程を追加しても良い。例えば、水素アニールを実施する。典型的な水素アニールの条件は10〜50000Pa、850〜1100℃、5〜60分程度とする。但し、特に開口部間の間隔が狭く半導体層が薄い場合には、半導体層の凝集を避けるためより短時間、あるいはより低温で熱処理しても良い。また、水素雰囲気中にHCl等、他の気体を混合しても良い。   Further, after the semiconductor layer is exposed, a heat treatment step for planarizing and cleaning the exposed side surface of the semiconductor layer before forming the gate insulating film on the surface of the semiconductor layer may be added. For example, hydrogen annealing is performed. Typical hydrogen annealing conditions are 10 to 50000 Pa, 850 to 1100 ° C., and about 5 to 60 minutes. However, particularly when the interval between the openings is narrow and the semiconductor layer is thin, the semiconductor layer may be heat-treated in a shorter time or at a lower temperature in order to avoid aggregation of the semiconductor layer. Further, other gas such as HCl may be mixed in the hydrogen atmosphere.

また、ソース/ドレイン接続部の幅が大きい場合(例えば図6、図46〜図49の構造)は、ソース/ドレイン接続部への不純物導入を、上部から通常にイオン注入をすることにより作製しても良い。ソース/ドレイン接続部に上部からイオン注入する場合は、マスク膜9とパッド膜8を除去することが好ましい(図49)。ソース/ドレイン接続部とソース/ドレイン領域の両者に対して、同時にマスク膜9とパッド膜8を除去し、同時に不純物の導入を行っても良い。   When the width of the source / drain connection portion is large (for example, the structure shown in FIGS. 6 and 46 to 49), the impurity is introduced into the source / drain connection portion by performing normal ion implantation from above. May be. When ions are implanted into the source / drain connection portion from above, it is preferable to remove the mask film 9 and the pad film 8 (FIG. 49). The mask film 9 and the pad film 8 may be simultaneously removed from both the source / drain connection portion and the source / drain region, and impurities may be simultaneously introduced.

また、ソース/ドレイン領域、ソース/ドレイン接続部へ上部からイオン注入する場合は、基板平面に対して垂直方向の不純物濃度を均一にするため、異なるエネルギーのイオン注入を複数回繰り返しても良い。   In addition, when ion implantation is performed from the top to the source / drain region and the source / drain connection portion, ion implantation with different energy may be repeated a plurality of times in order to make the impurity concentration in the direction perpendicular to the substrate plane uniform.

また、チャネル形成領域、ソース/ドレイン接続部、ソース/ドレイン領域等の半導体領域中に導入した不純物を活性化するための熱処理は、イオン注入等による不純物の導入直後に行っても良いし、配線などの金属層が設けられる以前の適当な段階において、実施しても良い。   Further, the heat treatment for activating the impurity introduced into the semiconductor region such as the channel formation region, the source / drain connection portion, and the source / drain region may be performed immediately after the introduction of the impurity by ion implantation or the like. It may be carried out at an appropriate stage before the metal layer is provided.

以上に述べた電界効果型トランジスタの製造方法では、RIEに対するマスク層(ここではSi34膜)にあらかじめ開口が余分に配列したパターンを設け、次に余分な開口パターンを除いた領域において半導体層3をパターニングして素子領域を形成するので、チャネル形成領域を構成する半導体層の幅を均一に形成できる。 In the field effect transistor manufacturing method described above, a pattern in which extra openings are arranged in advance is provided in the mask layer (here, Si 3 N 4 film) for RIE, and then the semiconductor is formed in a region excluding the extra opening pattern. Since the element region is formed by patterning the layer 3, the width of the semiconductor layer constituting the channel formation region can be formed uniformly.

ここで、もし開口パターンに余分な配列を設けず、開口パターンと、素子領域のパターンを同時に形成しようとすると、開口パターン配列の端部に位置するチャネル形成領域(図10では、配列中で最も右、及び最も左に位置する半導体領域)に対応するレジストパターンの幅が、素子領域外の広い領域に対して露光された光線(あるいは電子線、X線などのビーム)の影響によって細りを生じ、その結果、図51のように、開口パターン配列の両端部に位置するチャネル形成領域を構成する半導体層の幅が細くなる場合がある(近接効果)。これに対して本製造方法を用いると、この問題は生じず図10のように、幅の揃った素子領域が得られる。   Here, if an opening pattern and an element region pattern are formed simultaneously without providing an extra arrangement in the opening pattern, the channel formation region located at the end of the opening pattern arrangement (in FIG. The width of the resist pattern corresponding to the right and leftmost semiconductor regions) is reduced by the influence of light rays (or beams of electron beams, X-rays, etc.) exposed to a wide region outside the device region. As a result, as shown in FIG. 51, the width of the semiconductor layer constituting the channel formation region located at both ends of the opening pattern arrangement may be reduced (proximity effect). On the other hand, when this manufacturing method is used, this problem does not occur, and an element region having a uniform width can be obtained as shown in FIG.

また、本参考形態の製造方法においては、チャネル形成領域を構成する半導体層の上部にマスク層(ここではSiO2層とSi34層の二層膜)を設けているので、ゲート電極(またはダミーゲート電極)のエッチング中にチャネル形成領域を構成する半導体層がダメージを受けることもない。マスク層の材質は、ゲートのエッチング中にマスク層のすべてがエッチングされ消滅することのないものであれば良い。例えばSiO2層、Si34層等、ゲート電極またはダミーゲート電極のエッチング時にエッチングされない或いはされにくい材料を選べば良い。 In the manufacturing method of the present embodiment, a mask layer (in this case, a two-layer film of a SiO 2 layer and a Si 3 N 4 layer) is provided above the semiconductor layer constituting the channel formation region. Alternatively, the semiconductor layer constituting the channel formation region is not damaged during the etching of the dummy gate electrode). The material of the mask layer may be any material as long as the entire mask layer is not etched and disappears during the gate etching. For example, a material that is not or hardly etched when etching the gate electrode or the dummy gate electrode, such as a SiO 2 layer or a Si 3 N 4 layer, may be selected.

ダミーゲート電極及びダミーゲート絶縁膜の除去後、絶縁性側壁材料、例えば厚さ5nmの第二のSi34膜をCVDにより全面に堆積して、続いてこの絶縁材料をRIEによりエッチバックすることにより、ダミーゲート電極及びダミーゲート絶縁膜を除去して得られたスリット中に、絶縁材料よりなる側壁を形成する工程を追加しても良い。この時、チャネル形成領域を構成する半導体層とダミーゲート電極の双方がほぼ垂直な側面を持っている場合には、ダミーゲート電極の高さ(埋め込み酸化膜に接する最下端から最上端までの高さ)が、チャネル形成領域を構成する半導体層の2倍以上あれば、絶縁性側壁材料(ここでは第二のSi34膜)に対して、少なくともチャネル形成領域を構成する半導体層の厚さと同じだけRIEを実施することにより、半導体層の側壁には絶縁性側壁材料(ここでは第二のSi34膜)がなく、スリットの内壁だけに絶縁性側壁材料(ここでは第二のSi34膜)を設けることができる。 After removing the dummy gate electrode and the dummy gate insulating film, an insulating sidewall material, for example, a second Si 3 N 4 film having a thickness of 5 nm is deposited on the entire surface by CVD, and then this insulating material is etched back by RIE. Thus, a step of forming a side wall made of an insulating material may be added in the slit obtained by removing the dummy gate electrode and the dummy gate insulating film. At this time, if both of the semiconductor layer constituting the channel formation region and the dummy gate electrode have substantially vertical side surfaces, the height of the dummy gate electrode (the height from the bottom end to the top end in contact with the buried oxide film) Is at least twice the thickness of the semiconductor layer constituting the channel formation region, the thickness of at least the semiconductor layer constituting the channel formation region with respect to the insulating sidewall material (here, the second Si 3 N 4 film). As a result, the side wall of the semiconductor layer has no insulating side wall material (here, the second Si 3 N 4 film), and only the inner wall of the slit has the insulating side wall material (here, the second side wall material). Si 3 N 4 film) can be provided.

スリットの内壁に絶縁材料よりなる側壁が設けられると、スリットに隣接する材料(ここではPSG)に損傷を与えずに、スリット内の半導体層に対してクリーニング又はエッチング処理を行うことができる。   When a side wall made of an insulating material is provided on the inner wall of the slit, the semiconductor layer in the slit can be cleaned or etched without damaging the material adjacent to the slit (here PSG).

例えば、半導体層の側面の汚染を除去するため、あるいは半導体層の幅Wsiを小さくするために、一旦半導体層の側面を熱酸化し(汚染除去を目的とする場合はゲート酸化膜厚の10倍以下、薄膜化を目的とする場合は特に範囲はない。ここで行う酸化工程は犠牲酸化と呼ばれる)、これを希フッ酸、または緩衝フッ酸などSiO2に対するエッチング液により除去する工程(犠牲酸化膜除去工程)を行っても、スリット両側が絶縁性側壁材料に覆われているので、スリット両側の材料(ここではPSG)に対する損傷が小さい。 For example, in order to remove contamination on the side surface of the semiconductor layer or reduce the width W si of the semiconductor layer, the side surface of the semiconductor layer is once thermally oxidized (for the purpose of contamination removal, the gate oxide film thickness of 10 There is no particular range when the purpose is to reduce the film thickness by two times or less, and the oxidation step performed here is called sacrificial oxidation), and the step of removing this with an etchant for SiO 2 such as dilute hydrofluoric acid or buffered hydrofluoric acid (sacrificial) Even when the oxide film removing step) is performed, damage to the material (here PSG) on both sides of the slit is small because both sides of the slit are covered with the insulating sidewall material.

また、ゲート電極5(もしくはダミーゲート電極11)に側壁を設ける方法としては、半導体層に開けられた開口部における、埋め込み絶縁層表面からのゲート電極5(もしくはダミーゲート電極11)の高さhgを、埋め込み絶縁層表面からの半導体層の高さtSiの2倍より大きく設定し、図10の構造上にゲート電極5(もしくはダミーゲート電極11)を形成した後、ゲート電極5(もしくはダミーゲート電極11)の表面を覆うように絶縁性側壁材料を堆積し、続いてこれをtsi以上、(hg−tSi)未満の厚さにわたってエッチバックすることにより、ゲート電極の下端から、半導体層の上端の高さまでの位置において、ゲート電極側面に側壁を形成することができる。 As a method of providing a side wall on the gate electrode 5 (or the dummy gate electrode 11), the height h of the gate electrode 5 (or the dummy gate electrode 11) from the surface of the buried insulating layer in the opening formed in the semiconductor layer. g is set to be larger than twice the height t Si of the semiconductor layer from the surface of the buried insulating layer, and the gate electrode 5 (or dummy gate electrode 11) is formed on the structure of FIG. An insulating sidewall material is deposited so as to cover the surface of the dummy gate electrode 11), and then etched back over a thickness of tsi or more and less than (h g -t Si ), so that from the lower end of the gate electrode, Sidewalls can be formed on the side surfaces of the gate electrode at positions up to the height of the upper end of the semiconductor layer.

但し、本参考形態に述べたスリット内壁に絶縁性側壁を形成する方法、及び同じく本参考形態に述べたゲート電極5(もしくはダミーゲート電極11)に絶縁性側壁を形成する方法では、図10の構造上にゲート電極5(もしくはダミーゲート電極11)を形成した時点で、ゲート電極5(もしくはダミーゲート電極11)の両側面を完全に絶縁性側壁で覆うことができない(前者の方法ではこの時点で側壁を設けることができない、後者の方法ではゲート電極の側面が一部露出する)。   However, in the method of forming an insulating sidewall on the inner wall of the slit described in the present embodiment and the method of forming the insulating sidewall on the gate electrode 5 (or the dummy gate electrode 11) described in the present embodiment, the method shown in FIG. When the gate electrode 5 (or dummy gate electrode 11) is formed on the structure, both side surfaces of the gate electrode 5 (or dummy gate electrode 11) cannot be completely covered with insulating sidewalls (the former method is used at this time). In the latter method, the side surface of the gate electrode is partially exposed).

従って、ソース/ドレイン領域に半導体材料をエピタキシャル成長する場合に、ゲート電極側面にも、半導体材料がエピタキシャル成長するという問題が発生する。この問題は参考形態4として説明する製造方法に基づいて解決される。   Therefore, when the semiconductor material is epitaxially grown in the source / drain regions, there is a problem that the semiconductor material is also epitaxially grown on the side surface of the gate electrode. This problem is solved based on the manufacturing method described as Reference Embodiment 4.

なお、本参考形態における各工程は、参考形態1及び2に係る電界効果型トランジスタ、または参考形態1及び2に係る各種の変形を伴う電界効果型トランジスタの製造に用いることができる。   In addition, each process in this reference form can be used for manufacture of the field effect transistor which concerns on the reference form 1 and 2, or the field effect transistor which accompanies various deformation | transformation which concerns on reference form 1 and 2.

また、本参考形態における各工程の一部を、他の一般的な電界効果型トランジスタの製造方法とを組み合わせることにより、参考形態1及び2に係る電界効果型トランジスタ、または参考形態1及び2に係る各種の変形を伴う電界効果型トランジスタを製造することもできる。   Further, by combining a part of each step in this reference embodiment with another general field effect transistor manufacturing method, the field effect transistor according to Reference Embodiments 1 and 2 or Reference Embodiments 1 and 2 are combined. It is also possible to manufacture field effect transistors with such various modifications.

また、本参考形態における、各部分の膜厚、寸法、材質は、参考形態1及び2での説明に基いて適宜変更を加えて良い。   In addition, the film thickness, dimensions, and material of each part in this reference embodiment may be appropriately changed based on the description in Reference Embodiments 1 and 2.

(参考形態4)次に参考形態4として、本発明に係る、ゲート電極またはダミーゲート電極に絶縁膜(例えばSi34膜)の側壁を形成する方法を図20〜図25を参照して説明する。 Reference Embodiment 4 Next, as a reference embodiment 4, a method for forming a sidewall of an insulating film (for example, Si 3 N 4 film) on a gate electrode or a dummy gate electrode according to the present invention will be described with reference to FIGS. explain.

図20〜図25は、図10の構造を形成した後にダミーゲート電極(またはゲート電極)、及びこれらに付着する側壁を設ける工程を図示したものである。図20〜図22は図10のB10−B10’線断面に対応し、図23〜図25は図10のC10−C10’線断面のダミーゲート電極11付近に対応する。   20 to 25 illustrate a process of providing a dummy gate electrode (or gate electrode) and a side wall attached to the dummy gate electrode after the structure of FIG. 10 is formed. 20 to 22 correspond to the cross section taken along line B10-B10 'of FIG. 10, and FIGS. 23 to 25 correspond to the vicinity of the dummy gate electrode 11 taken along the line C10-C10' of FIG.

参考形態4に示した本発明の製造方法は、参考形態1に示すダミーゲート電極に側壁を設ける場合、または参考形態3に示す製造方法において、ダミーゲート電極を設ける工程に代えてゲート電極5を設ける工程を実施した際に、ゲート電極5に側壁を設けるために用いることができる。   In the manufacturing method of the present invention shown in the reference mode 4, when the side wall is provided on the dummy gate electrode shown in the reference mode 1, or in the manufacturing method shown in the reference mode 3, the gate electrode 5 is replaced with the step of providing the dummy gate electrode. When the step of providing is performed, the gate electrode 5 can be used for providing a side wall.

また、本参考形態4の製造方法は、後述するように、単数の半導体層によりソース/ドレイン領域同士が接続される電界効果型トランジスタの製造に用いても良い。   Further, as described later, the manufacturing method of the fourth embodiment may be used for manufacturing a field effect transistor in which source / drain regions are connected to each other by a single semiconductor layer.

まず、ダミーゲート電極11に側壁を設ける場合について述べる。参考形態2に示した製造方法により、素子領域をパターニングした構造(例えば図10)を形成し、続いて参考形態3に示した製造方法と同様にダミーゲート絶縁膜18及びダミーゲート電極11を形成する(例えば図39)。なお、本参考形態4における半導体層3の上端と、ダミーゲート電極11の上端との高さの差は例えば150nmとする。また、後述するようにダミーゲート電極11の形成後に半導体層3中に不純物を導入する工程を実施してもよい。   First, the case where a side wall is provided in the dummy gate electrode 11 will be described. A structure in which the element region is patterned (for example, FIG. 10) is formed by the manufacturing method shown in the second embodiment, and then the dummy gate insulating film 18 and the dummy gate electrode 11 are formed in the same manner as the manufacturing method shown in the third embodiment. (For example, FIG. 39). Note that the difference in height between the upper end of the semiconductor layer 3 and the upper end of the dummy gate electrode 11 in the fourth embodiment is, for example, 150 nm. Further, as will be described later, a step of introducing impurities into the semiconductor layer 3 may be performed after the formation of the dummy gate electrode 11.

次に全体の表面を覆うように第2のSi34膜20をCVDにより10nm堆積する。続いて第2のCVDSiO2膜21をCVD法により200nm堆積し、CMPにより第2のCVDSiO2膜21を平坦化する(図20、図23)。CMP工程においては、第2のSi34膜20がCMPに対するストッパとして働く。 Next, a second Si 3 N 4 film 20 is deposited to a thickness of 10 nm by CVD so as to cover the entire surface. Then the second CVD SiO 2 film 21 and 200nm by CVD, to planarize the second CVD SiO 2 film 21 by CMP (FIG. 20, FIG. 23). In the CMP process, the second Si 3 N 4 film 20 functions as a stopper for CMP.

続いて、第2のSi34膜20と第2のCVDSiO2膜21をRIEによって表面から15nmの深さまでエッチングし、続いてポリシリコンを20nm堆積し、ポリシリコンに対してRIEによるエッチバックを行い、第1のサイドウォール22(材質は、この場合ポリシリコン)をダミーゲート電極11の上部両側側面に設ける(図21、図24)。 Subsequently, the second Si 3 N 4 film 20 and the second CVD SiO 2 film 21 are etched to a depth of 15 nm from the surface by RIE, then polysilicon is deposited to 20 nm, and the polysilicon is etched back by RIE. The first side wall 22 (the material is polysilicon in this case) is provided on both side surfaces of the upper part of the dummy gate electrode 11 (FIGS. 21 and 24).

続いて、ダミーゲート電極11及び第1のサイドウォール22をマスクに、第2のSi34膜20及び第2のCVDSiO2膜21をエッチバックすることにより、第2のSi34膜20と第2のCVDSiO2膜21の一部からなるゲートサイドウォール(ゲート側壁)を、ダミーゲート電極11の側面に設ける。 Subsequently, the dummy gate electrode 11 and the first sidewall 22 as a mask, by the second Si 3 N 4 film 20 and the second CVD SiO 2 film 21 is etched back, second Si 3 N 4 film 20 and a part of the second CVD SiO 2 film 21 are provided on the side surface of the dummy gate electrode 11.

図22、図25において、ダミーゲート電極11の側面に付着する第2のSi34膜20と第2のCVDSiO2膜21により構成される部分がゲートサイドウォールである。このエッチバック工程において、ほぼ埋め込み絶縁膜2の上端とダミーゲート電極11の上端との高さの差だけの厚さを持つSi34膜をエッチングするために必要な時間よりも長く、エッチバックを行えば、ゲートサイドウォールとなる部分を除いて第2のSi34膜20と第2のCVDSiO2膜21は除去され、ソース/ドレイン接続部32の側面において半導体層が露出する構造が得られる(ダミーゲート電極11から離れた位置における、A10−A10’断面線に平行した断面の形状を図68に示す。 22 and 25, a portion formed by the second Si 3 N 4 film 20 and the second CVD SiO 2 film 21 adhering to the side surface of the dummy gate electrode 11 is a gate sidewall. In this etch-back process, the etching time is longer than the time required for etching the Si 3 N 4 film having a thickness that is substantially the difference in height between the upper end of the buried insulating film 2 and the upper end of the dummy gate electrode 11. If the back is performed, the second Si 3 N 4 film 20 and the second CVD SiO 2 film 21 are removed except for the portion that becomes the gate sidewall, and the semiconductor layer is exposed on the side surface of the source / drain connection portion 32. (A cross-sectional shape parallel to the A10-A10 ′ cross-sectional line at a position away from the dummy gate electrode 11 is shown in FIG.

図68に示す半導体層3は、ソース/ドレイン接続部が形成される部分に相当する。なお、エッチバック時に埋め込み絶縁層の一部が同時に除去されていても構わない。また、エッチバック工程時に、ダミーゲート電極11の下部を除いた半導体領域3の側面に付着するダミーゲート絶縁膜18は同時に除去される。また半導体層上のマスク膜9も同時に除去される。   The semiconductor layer 3 shown in FIG. 68 corresponds to a portion where a source / drain connection portion is formed. Note that a part of the buried insulating layer may be removed at the same time during the etch back. Further, during the etch back process, the dummy gate insulating film 18 adhering to the side surface of the semiconductor region 3 excluding the lower portion of the dummy gate electrode 11 is removed at the same time. The mask film 9 on the semiconductor layer is also removed at the same time.

なお、図69はゲートサイドウォールとダミーゲート電極11(またはゲート電極)、半導体層3との位置関係を明確にするために、エッチバック後におけるゲートサイドウォール付近の形状を拡大した透視図である。   FIG. 69 is an enlarged perspective view of the shape of the vicinity of the gate sidewall after the etch back in order to clarify the positional relationship between the gate sidewall, the dummy gate electrode 11 (or gate electrode), and the semiconductor layer 3. .

なお、本明細書ではゲート電極に設けた側壁、ダミーゲート電極に設けた側壁の双方をゲートサイドウォールという。その理由は、ダミーゲート電極に設けた側壁についても、後の工程でダミーゲート電極をゲート電極に置換すると、ゲート電極の側面に付着した側壁となるからである。   Note that in this specification, both the sidewall provided on the gate electrode and the sidewall provided on the dummy gate electrode are referred to as a gate sidewall. The reason is that the side wall provided on the dummy gate electrode also becomes a side wall attached to the side surface of the gate electrode when the dummy gate electrode is replaced with the gate electrode in a later step.

その後、参考形態3に係る製造方法における図11以降の工程と同様の手順で、ソース/ドレイン接続部とソース/ドレイン領域に不純物を導入するとともに、ダミーゲート及びダミーゲート絶縁膜を除去してスリットを形成し、得られたスリット中にゲート絶縁膜、ゲート電極を形成したのち、ゲート電極及びソース/ドレイン領域に配線を接続し、図35、図75の形態のトランジスタを形成する。なお、図75は図20から図22に相当する断面における断面図である。   Thereafter, impurities are introduced into the source / drain connection portion and the source / drain region, and the dummy gate and the dummy gate insulating film are removed and slitted in the same procedure as in the steps after FIG. 11 in the manufacturing method according to the third embodiment. After forming a gate insulating film and a gate electrode in the obtained slit, a wiring is connected to the gate electrode and the source / drain region to form a transistor in the form of FIGS. 75 is a cross-sectional view corresponding to FIGS. 20 to 22.

また、ソース/ドレイン領域の上部をシリサイド化する工程を実施しても良い。ソース/ドレイン接続部間の開口の幅が大きい場合は、ソース/ドレイン接続部の側面についてもシリサイド化しても良い。また、これらのシリサイド化工程を実施する際、ソース/ドレイン領域の側面(素子領域の外周に当たる部分)はシリサイド化してもしなくても良い。   In addition, a process of siliciding the upper part of the source / drain region may be performed. When the width of the opening between the source / drain connection portions is large, the side surfaces of the source / drain connection portions may be silicided. Further, when performing these silicidation steps, the side surfaces of the source / drain regions (portions corresponding to the outer periphery of the element region) may or may not be silicided.

ダミーゲート電極を形成しない場合は、ダミーゲート電極に代えて設けられるゲート電極に対して、上記本参考形態の発明を同様に実施すれば良い。この場合、ダミーゲート電極をゲート電極、ダミーゲート絶縁膜をゲート絶縁膜と読みかえた上、ダミーゲートの除去からスリット中へのゲート電極の埋め込みに至る工程を省略する。   In the case where the dummy gate electrode is not formed, the above-described invention of the present embodiment may be similarly applied to the gate electrode provided in place of the dummy gate electrode. In this case, the steps from removing the dummy gate to embedding the gate electrode in the slit are omitted after replacing the dummy gate electrode with the gate electrode and the dummy gate insulating film with the gate insulating film.

なお、第2のCVDSiO2膜21を持たず第2のSi34膜20の側面が露出したゲートサイドウォールを設けてもよい(図58参照。この場合も発明の効果は変わらない)。第2のCVDSiO2膜21を持たないサイドウォールは、例えば第1のサイドウォール22の横方向の突起が小さい場合、ゲートサイドウォールの形成後にフッ酸などによりSiO2をエッチングした場合に生じる。 Note that a gate sidewall that does not have the second CVD SiO 2 film 21 and the side surface of the second Si 3 N 4 film 20 is exposed may be provided (see FIG. 58. In this case, the effect of the invention is not changed). The side wall without the second CVD SiO 2 film 21 is generated, for example, when the lateral protrusions of the first side wall 22 are small and when the SiO 2 is etched with hydrofluoric acid after the gate side wall is formed.

本参考形態の特徴は、ダミーゲート電極(またはゲート電極)を一旦絶縁膜中に埋め込んだのち、ダミーゲート電極(またはゲート電極)の上部を一部だけ露出させ、露出したダミーゲート電極(またはゲート電極)の側面に第一のサイドウォール(第一の側壁)を設け、ダミーゲート電極(またはゲート電極)と第一のサイドウォールをマスクとして、ダミーゲート電極(またはゲート電極)を埋め込んでいた絶縁膜をエッチングすることにより、ダミーゲート電極(またはゲート電極)を埋め込んでいた絶縁膜を材料とするゲートサイドウォールを形成することである。   The feature of this embodiment is that after the dummy gate electrode (or gate electrode) is once embedded in the insulating film, only a part of the upper portion of the dummy gate electrode (or gate electrode) is exposed, and the exposed dummy gate electrode (or gate) is exposed. The first sidewall (first sidewall) is provided on the side surface of the electrode), and the dummy gate electrode (or gate electrode) is embedded using the dummy gate electrode (or gate electrode) and the first sidewall as a mask. By etching the film, a gate sidewall made of an insulating film in which the dummy gate electrode (or gate electrode) is embedded is formed.

このようにゲートサイドウォールが設けられると、ダミーゲート電極(あるいはゲート電極)形成後にソース/ドレイン領域に対してさまざまな処理(イオン注入、シリサイド化、半導体のエピタキシャル成長、アモルファス半導体又は多結晶半導体の選択成長)を行う際に、ゲート電極及びゲート電極の下部(あるいはダミーゲート電極及びダミーゲート電極の下部)の半導体層を保護することができる。   When the gate sidewall is provided in this way, various processes (ion implantation, silicidation, epitaxial growth of semiconductor, selection of amorphous semiconductor or polycrystalline semiconductor) are performed on the source / drain region after the dummy gate electrode (or gate electrode) is formed. When performing growth, the gate electrode and the semiconductor layer under the gate electrode (or under the dummy gate electrode and the dummy gate electrode) can be protected.

また、このようにゲートサイドウォールを形成するとダミーゲート電極を除去してスリットを形成した時点において、スリットの内壁がゲートサイドウォールを構成するSi34膜によって覆われ、スリットの内壁部に酸化膜、PSG膜が露出しない構造が得られる。このため、ダミーゲート酸化膜の除去をウエットエッチングにより行うことが可能となる。 Further, when the gate sidewall is formed in this way, when the slit is formed by removing the dummy gate electrode, the inner wall of the slit is covered with the Si 3 N 4 film constituting the gate sidewall, and the inner wall of the slit is oxidized. A structure in which the film and the PSG film are not exposed is obtained. Therefore, the dummy gate oxide film can be removed by wet etching.

これは、ダミーゲート酸化膜等のSiO2膜を除去する際に通常用いられる、フッ酸を含んだエッチング液は、PSG膜、SiO2膜等スリットの側壁を構成する材料に対してエッチング作用を持つことに対して、フッ酸によるエッチング作用に耐性のあるSi34膜によってスリット内壁を保護すれば、ダミーゲート酸化膜を除去する際にスリットの側壁がエッチング作用を受けない、という作用によるものである。 This is because an etching solution containing hydrofluoric acid, which is usually used when removing a SiO 2 film such as a dummy gate oxide film, has an etching effect on the material constituting the side walls of the slit, such as a PSG film and a SiO 2 film. In contrast, if the inner wall of the slit is protected by a Si 3 N 4 film that is resistant to the etching action by hydrofluoric acid, the side wall of the slit is not subjected to the etching action when the dummy gate oxide film is removed. Is.

もし、ダミーゲート酸化膜の除去にウエットエッチングが使えない場合には、RIE等のドライエッチングによりダミーゲート酸化膜を除去する必要が生じるが、一般にドライエッチングを行うとチャネル形成領域を構成する半導体層に対して結晶欠陥や汚染などのダメージを与えやすいという問題が発生する場合がある。これに対して本参考形態に述べた製造方法によれば、ダミーゲート酸化膜の除去をウエットエッチングにより行うことが可能となり、チャネル形成領域を構成する半導体層へのダメージを軽減できる。   If wet etching cannot be used to remove the dummy gate oxide film, it is necessary to remove the dummy gate oxide film by dry etching such as RIE. In general, when dry etching is performed, a semiconductor layer constituting a channel formation region is required. In some cases, however, there is a problem that damage such as crystal defects or contamination is likely to occur. On the other hand, according to the manufacturing method described in this embodiment, the dummy gate oxide film can be removed by wet etching, and damage to the semiconductor layer constituting the channel formation region can be reduced.

また同様に、スリット内壁に残存するゲートサイドウォールに保護されたゲート電極周辺部がウェットエッチングの影響を受けないので、チャネル形成領域を構成する半導体層の薄膜化を、犠牲酸化とそれに続く犠牲酸化膜に対するウエットエッチングにより行うことが可能となり、チャネル形成領域を構成する半導体層へのダメージ(特にドライエッチングにより薄膜化を行うことによるダメージ)が軽減される。   Similarly, since the periphery of the gate electrode protected by the gate sidewall remaining on the inner wall of the slit is not affected by wet etching, the semiconductor layer constituting the channel formation region is thinned by sacrificial oxidation and subsequent sacrificial oxidation. The film can be etched by wet etching, and damage to the semiconductor layer constituting the channel formation region (particularly damage due to thinning by dry etching) is reduced.

ダミーゲート電極を形成せず、ダミーゲート電極に代えて設けられるゲート電極に対して、上記本参考形態の発明を同様に実施する場合、ゲート電極形成後にソース/ドレイン領域に対してさまざまな処理(イオン注入、シリサイド化、半導体のエピタキシャル成長、アモルファス半導体又は多結晶半導体の選択成長)を行う際に、ゲート電極及びゲート電極の下部を保護することができる。   When the invention of the present embodiment is similarly applied to a gate electrode that is provided in place of the dummy gate electrode without forming the dummy gate electrode, various processes ( When performing ion implantation, silicidation, epitaxial growth of semiconductor, selective growth of amorphous semiconductor or polycrystalline semiconductor), the gate electrode and the lower portion of the gate electrode can be protected.

なお、チャネルタイプと同一導電型の高濃度の不純物を半導体層3に注入する工程を、ダミーゲート電極(またはゲート電極)の形成後、ゲートサイドウォールの形成前に実施しても良い。これは特に10nm以上の厚さ(ゲート電極に対して横方向の厚さ)のゲートサイドウォールを形成する場合、ソース/ドレイン接続部のうちゲートサイドウォールに覆われた部分の寄生抵抗を低下させるために有効である。ここで、イオン注入、斜めイオン注入により不純物を導入する場合は、不純物の導入に当たってダミーゲート電極(またはゲート電極)に覆われていない領域のダミーゲート絶縁膜(またはゲート絶縁膜)は除去しても除去しなくても良い。気相拡散など、不純物が絶縁膜を通して侵入し難い方法で不純物を導入する際には、ダミーゲート電極(またはゲート電極)に覆われていない領域のダミーゲート絶縁膜(またはゲート絶縁膜)をRIE等のエッチングにより除去した上で不純物を導入することが好ましい。   Note that the step of injecting a high concentration impurity of the same conductivity type as the channel type into the semiconductor layer 3 may be performed after the formation of the dummy gate electrode (or gate electrode) and before the formation of the gate sidewall. This lowers the parasitic resistance of the source / drain connection portion covered by the gate sidewall, particularly when forming a gate sidewall having a thickness of 10 nm or more (thickness in the lateral direction with respect to the gate electrode). It is effective for. Here, in the case of introducing impurities by ion implantation or oblique ion implantation, the dummy gate insulating film (or gate insulating film) in a region not covered by the dummy gate electrode (or gate electrode) is removed when the impurities are introduced. Need not be removed. When introducing impurities by a method such as vapor phase diffusion that prevents impurities from penetrating through the insulating film, the dummy gate insulating film (or gate insulating film) in the region not covered by the dummy gate electrode (or gate electrode) is RIE. It is preferable to introduce impurities after removing them by etching.

また、ゲートサイドウォールを形成するためのエッチバック工程(第1のサイドウォール22を形成した後に第2のSi34膜20と第2のCVDSiO2膜21をエッチバックする工程)を、Si34膜に対してSiO2が選択的にエッチングされるRIEを用いて実施しても良い。この場合エッチバックはSi34膜の表面で停止する。 Further, an etch back step for forming the gate sidewall (step of etching back the second Si 3 N 4 film 20 and the second CVD SiO 2 film 21 after the first sidewall 22 is formed) It may be carried out using RIE in which SiO 2 is selectively etched with respect to the 3 N 4 film. In this case, the etch back stops at the surface of the Si 3 N 4 film.

続いてSi34膜に対してエッチング作用のある異方的なまたは等方的なドライエッチング、あるいは加熱した燐酸によるウェットエッチングにより、第2のSi34膜20を除去すれば、埋め込み酸化膜2に対するエッチング(例えば図22の両端部における埋め込み酸化膜2のくぼみ)が抑制され、エッチバック後の形状に対する制御性が増す。また、半導体層3の側面にダミーゲート絶縁膜18(あるいはゲート絶縁膜)が設けられている場合、半導体層3の側面にはダミーゲート絶縁膜18(あるいはゲート絶縁膜)を介して第2のSi34膜20が付着した形状が形成されており、選択的なSiO2のエッチングに続いてSi34膜に対する等方的なドライエッチング、あるいは加熱した燐酸によるウェットエッチングを行うことにより半導体層3の側面にはダミーゲート絶縁膜18(あるいはゲート絶縁膜)が露出する。この時、ゲート電極側面にはゲートサイドウォールが設けられているので、半導体層3の側面にはダミーゲート絶縁膜18(あるいはゲート絶縁膜)を除去するためにフッ酸等による短時間のウェットエッチングを実施しても、チャネル形成領域に接するダミーゲート絶縁膜18(あるいはゲート絶縁膜)が、フッ酸等のエッチング液により損傷を受けない。このとき、ゲートサイドウォールの下部に位置するゲート絶縁膜(あるいはダミーゲート絶縁膜)は一部エッチングされて失われるが、素子特性には影響がない。 Subsequently, if the second Si 3 N 4 film 20 is removed by anisotropic or isotropic dry etching having an etching action on the Si 3 N 4 film, or wet etching with heated phosphoric acid, the burying is performed. Etching of the oxide film 2 (for example, depression of the buried oxide film 2 at both ends of FIG. 22) is suppressed, and controllability of the shape after the etch back is increased. When the dummy gate insulating film 18 (or gate insulating film) is provided on the side surface of the semiconductor layer 3, the second side is provided on the side surface of the semiconductor layer 3 via the dummy gate insulating film 18 (or gate insulating film). A shape to which the Si 3 N 4 film 20 is adhered is formed, and by performing selective SiO 2 etching followed by isotropic dry etching on the Si 3 N 4 film or wet etching with heated phosphoric acid. The dummy gate insulating film 18 (or gate insulating film) is exposed on the side surface of the semiconductor layer 3. At this time, since the gate side wall is provided on the side surface of the gate electrode, short-time wet etching with hydrofluoric acid or the like is performed on the side surface of the semiconductor layer 3 in order to remove the dummy gate insulating film 18 (or gate insulating film). However, the dummy gate insulating film 18 (or the gate insulating film) in contact with the channel formation region is not damaged by the etchant such as hydrofluoric acid. At this time, the gate insulating film (or dummy gate insulating film) located under the gate sidewall is partially etched and lost, but the element characteristics are not affected.

なお、第2のCVDSiO2膜21を持たず第2のSi34膜20の側面が露出したゲートサイドウォールを設けてもよい(図20、図25に対応する形態を図64、図65に示す。この場合も発明の効果は変わらない)。第2のCVDSiO2膜21を持たないサイドウォールは、例えば第1のサイドウォール22の横方向の突起が小さい場合(これは第1のサイドウォール22の形成のために堆積する膜の厚さが、第2のSi34膜20よりも薄い場合に相当する)、あるいはゲートサイドウォールの形成後にフッ酸などによりSiO2をエッチングした場合に生じる。 Note that gate sidewalls that do not have the second CVD SiO 2 film 21 and the side surfaces of the second Si 3 N 4 film 20 are exposed may be provided (a configuration corresponding to FIGS. 20 and 25 is shown in FIGS. 64 and 65). In this case, the effect of the invention is not changed). The sidewall without the second CVD SiO 2 film 21 has, for example, a small lateral protrusion of the first sidewall 22 (this is because the thickness of the film deposited for forming the first sidewall 22 is small). This corresponds to a case where the film is thinner than the second Si 3 N 4 film 20), or occurs when SiO 2 is etched with hydrofluoric acid or the like after the gate side wall is formed.

CMP工程を実施後、第2のSi34膜20と第2のCVDSiO2膜21をRIEによって表面からエッチングする深さは、上記(15nm)に限らず、特に制限はない。但し、第1のサイドウォールの幅に対する制御性の観点からは、第一のサイドウォール22を形成するために堆積する膜(上の例ではポリシリコン)の厚さよりも、エッチング深さが大きいことがより望ましい。例えばポリシリコンの厚さが20nmの場合、20nmより大きく、40nmよりも小さい範囲にここでのエッチング深さを設定する。 The depth at which the second Si 3 N 4 film 20 and the second CVD SiO 2 film 21 are etched from the surface by RIE after the CMP process is not limited to the above (15 nm), and is not particularly limited. However, from the viewpoint of controllability with respect to the width of the first sidewall, the etching depth is larger than the thickness of the film deposited to form the first sidewall 22 (polysilicon in the above example). Is more desirable. For example, when the thickness of the polysilicon is 20 nm, the etching depth here is set in a range larger than 20 nm and smaller than 40 nm.

また、少なくとも半導体層3が存在する範囲の高さにはゲート電極(またはダミーゲート電極)にゲートサイドウォールが形成されることが望ましいので、ここでのエッチング深さは半導体層3の上端に達しない程度に設定されることが望ましい。   In addition, it is desirable that a gate sidewall is formed on the gate electrode (or dummy gate electrode) at least in the range where the semiconductor layer 3 exists, so that the etching depth here reaches the upper end of the semiconductor layer 3. It is desirable to set it to such an extent that it does not.

以上、本参考形態においては、ダミーゲート電極(またはゲート電極)の側面に第2のSi34膜20を堆積することにより、Si34膜を構成要素として持つゲートサイドウォールを形成する例を示した。ダミーゲート電極(ゲート電極)の側面に堆積する材料としてSi34膜を選択すること利点として以下の二つを挙げることができる。 As described above, in the present embodiment, the gate sidewall having the Si 3 N 4 film as a constituent element is formed by depositing the second Si 3 N 4 film 20 on the side surface of the dummy gate electrode (or gate electrode). An example is shown. The following two can be cited as advantages of selecting the Si 3 N 4 film as the material deposited on the side surface of the dummy gate electrode (gate electrode).

第一は、CVDで第2のSi34膜20膜を堆積する際、ダミーゲート電極(またはゲート電極)の上部にも第2のSi34膜20膜が堆積され、続いて堆積した第2のCVDSiO2膜21をCMPにより平坦化する際、ダミーゲート電極(またはゲート電極)の上部に堆積した第2のSi34膜20膜がCMPに対するストッパとなる点である。 First, when depositing a second Si 3 N 4 film 20 film with CVD, also on top of the dummy gate electrode (or gate electrode) of the second Si 3 N 4 film 20 film is deposited, followed by deposition When planarizing the second CVDSiO 2 film 21 by CMP, the second Si 3 N 4 film 20 deposited on the dummy gate electrode (or gate electrode) serves as a stopper against CMP.

第二は、ダミーゲート電極に対して側壁を形成し、続いてダミーゲート電極を除去してスリットを形成すると、スリットの内壁が第2のSi34膜20膜によって保護され、フッ酸等のエッチング液を用いてスリット内のSiO2材料に対してエッチングを行っても、スリットの側壁がエッチングされず形状を保てるという点である。なお、スリット内のSiO2材料に対してエッチングは、ダミーゲート絶縁膜を除去する場合、あるいはスリット内のシリコン材料の表面を一旦犠牲酸化し、犠牲酸化により形成された酸化膜を除去する場合などに行われるものである。 Second, when a side wall is formed with respect to the dummy gate electrode, and then the dummy gate electrode is removed to form a slit, the inner wall of the slit is protected by the second Si 3 N 4 film 20 film, such as hydrofluoric acid. Even when the SiO 2 material in the slit is etched using the etching solution, the side wall of the slit is not etched and the shape can be maintained. Etching is performed on the SiO 2 material in the slit when the dummy gate insulating film is removed, or when the surface of the silicon material in the slit is once sacrificial oxidized and the oxide film formed by sacrificial oxidation is removed. Is to be done.

しかし、第2のCVDSiO2膜21をCMPにより平坦化する際のストッパとして、ダミーゲート電極(またはゲート電極)自体を構成する材料(例えばダミーゲート電極を構成するポリシリコン)を用いる場合で、なおかつスリットの側壁を保護する必要がない場合(ダミーゲートを形成せずに最初からゲート電極を設けてこれに側壁を設ける場合、あるいはスリット内のダミーゲート絶縁膜をRIEで除去した上スリット内のシリコン材料に対して犠牲酸化を行わない場合等)には、第2のSi34膜20膜を設ける工程を省略しても良い。第2のSi34膜20膜を省略すると、第2のCVDSiO2膜21だけからなるゲートサイドウォールが得られる。この場合の図20及び図25に対応する形態をそれぞれ図58及び図59に示す。 However, in the case where a material constituting the dummy gate electrode (or gate electrode) itself (for example, polysilicon constituting the dummy gate electrode) is used as a stopper when the second CVDSiO 2 film 21 is planarized by CMP, and When it is not necessary to protect the side wall of the slit (when the gate electrode is provided from the beginning without forming the dummy gate and the side wall is provided thereon, or the dummy gate insulating film in the slit is removed by RIE and the silicon in the upper slit is removed. For example, when the material is not subjected to sacrificial oxidation, the step of providing the second Si 3 N 4 film 20 may be omitted. If the second Si 3 N 4 film 20 film is omitted, a gate sidewall made only of the second CVD SiO 2 film 21 is obtained. The forms corresponding to FIGS. 20 and 25 in this case are shown in FIGS. 58 and 59, respectively.

また、第2のSi34膜20膜に代えて他の材料よりなる絶縁膜を用いても良く、第2のCVDSiO2膜21他の材料よりなる絶縁膜を用いても良い。第2のCVDSiO2膜21に代えてCVD、スピン塗布などの手段によって堆積したPSG膜を用いても良い。ダミーゲート絶縁膜(またはゲート絶縁膜)を除去したのち、第2のCVDSiO2膜21に代えてPSG膜を堆積した場合には、堆積したPSGから半導体層へ不純物を拡散する工程を実施しても良い。 Further, instead of the second Si 3 N 4 film 20 film may be an insulating film made of other materials, may be used second CVD SiO 2 film 21 other insulating film made of the material. Instead of the second CVD SiO 2 film 21, a PSG film deposited by means such as CVD or spin coating may be used. When a PSG film is deposited instead of the second CVDSiO 2 film 21 after the dummy gate insulating film (or gate insulating film) is removed, a step of diffusing impurities from the deposited PSG to the semiconductor layer is performed. Also good.

第2のSi34膜20膜、第2のCVDSiO2膜21及びこれらに代えて用いられる他の材料よりなる絶縁膜について、その膜厚には特に制限はない。但し、CMPの実施によって第2のCVDSiO2膜21(またはこれに代わる絶縁膜)の表面を平坦化するという観点からは、第2のCVDSiO2膜21(またはこれに代わる絶縁膜)の膜厚はゲート電極(またはダミーゲート電極)の高さより大きいことが好ましい。後述(図60、図61)のようにエッチングによりゲート電極(またはダミーゲート電極)またはこれらの上部に付着する物質を露出させる場合等、第2のCVDSiO2膜21(またはこれに代わる絶縁膜)の表面の平坦性を強く要求しない場合は、第2のCVDSiO2膜21
(またはこれに代わる絶縁膜)の膜厚はゲート電極(またはダミーゲート電極)の高さより小さくとも良い。第2のSi34膜20膜の厚さにも特に制限はないが、典型的には1000nm以下、より好ましくは50nm以下である。
The film thickness of the second Si 3 N 4 film 20, the second CVD SiO 2 film 21, and an insulating film made of other materials used in place of these is not particularly limited. However, from the viewpoint of planarizing the surface of the second CVDSiO 2 film 21 (or an insulating film replacing it) by performing CMP, the film thickness of the second CVDSiO 2 film 21 (or an insulating film replacing it). Is preferably larger than the height of the gate electrode (or dummy gate electrode). When the gate electrode (or dummy gate electrode) or a substance adhering to the upper part thereof is exposed by etching as described later (FIGS. 60 and 61), the second CVDSiO 2 film 21 (or an insulating film replacing this) is used. When the flatness of the surface of the film is not strongly required, the second CVDSiO 2 film 21
The film thickness of (or an insulating film replacing this) may be smaller than the height of the gate electrode (or dummy gate electrode). The thickness of the second Si 3 N 4 film 20 is not particularly limited, but is typically 1000 nm or less, more preferably 50 nm or less.

また、図20から図25に示した実施例のように、ダミーゲート電極(またはゲート電極)を覆った絶縁膜(ここでは第2のCVDSiO2膜21)をCMPにより平坦化することによって、ダミーゲート電極(またはゲート電極)の上部(あるいはここに示した第2のSi34膜20膜のようにダミーゲート電極あるいはゲート電極の上部に付着する物質)を露出させるのではなく、RIE等によるエッチング工程を、ダミーゲート電極(またはゲート電極)の上部、あるいはダミーゲート電極(またはゲート電極)の上部に付着する物質が露出するまで実施することにより、エッチバックするという工程を用いても良い。この場合の図20及び図25に対応する形態をそれぞれ図60、図61に示す。 Further, as in the embodiment shown in FIGS. 20 to 25, the insulating film (in this case, the second CVDSiO 2 film 21) covering the dummy gate electrode (or gate electrode) is planarized by CMP, thereby providing a dummy. Instead of exposing the upper part of the gate electrode (or the gate electrode) (or the material adhering to the upper part of the dummy gate electrode or the gate electrode like the second Si 3 N 4 film 20 shown here), RIE, etc. The etching process may be performed by performing the etching process by performing until the material adhering to the upper part of the dummy gate electrode (or gate electrode) or the upper part of the dummy gate electrode (or gate electrode) is exposed. . The forms corresponding to FIGS. 20 and 25 in this case are shown in FIGS. 60 and 61, respectively.

また、ダミーゲート電極(またはゲート電極)の上部において両側に突起させる第1のサイドウォール22は、図20から図25に示した実施例のようにポリシリコンでも良く、ポリシリコン以外の材料でも良い。第1のサイドウォール22の材料に対してダミーゲート電極(またはゲート電極)を覆う絶縁膜(第2のCVDSiO2膜21に相当する部分を構成する材料)を選択的にエッチングできるよう、第1のサイドウォール22、及びダミーゲート電極(またはゲート電極)を覆う絶縁膜のそれぞれの材料が選択されていれば良い。例えば第1のサイドウォール22をW、Mo等の金属、チタンシリサイドなどのシリサイド、またはTiNなどの金属化合物により構成し、第2のサイドウォールをSiO2、またはSi34,アモルファスフッ化カーボン、シロキサン及びその誘導体、有機絶縁膜などの各種絶縁膜により構成しても良い。 Further, the first sidewalls 22 projecting on both sides above the dummy gate electrode (or gate electrode) may be polysilicon as in the embodiments shown in FIGS. 20 to 25, or may be made of a material other than polysilicon. . In order to selectively etch the insulating film (the material constituting the portion corresponding to the second CVDSiO 2 film 21) covering the dummy gate electrode (or gate electrode) with respect to the material of the first sidewall 22. The insulating film covering the side wall 22 and the dummy gate electrode (or gate electrode) may be selected. For example, the first sidewall 22 is made of a metal such as W or Mo, a silicide such as titanium silicide, or a metal compound such as TiN, and the second sidewall 22 is made of SiO 2 , Si 3 N 4 , or amorphous fluorocarbon. , Siloxane and derivatives thereof, and various insulating films such as an organic insulating film.

(参考形態5)参考形態3及び参考形態4において、PSG膜を設けず、開口部に隣接する半導体層に対して、イオン注入、プラズマドーピングなど、PSG膜からの固相拡散以外の通常の不純物導入プロセスにより、不純物を導入しても良い。この場合、不純物の導入後にPSGに代えてSiO2、Si34などの絶縁材料を堆積すれば良い。 (Reference Form 5) In Reference Form 3 and Reference Form 4, a normal impurity other than solid phase diffusion from the PSG film, such as ion implantation or plasma doping, is applied to the semiconductor layer adjacent to the opening without providing the PSG film. Impurities may be introduced by the introduction process. In this case, an insulating material such as SiO 2 or Si 3 N 4 may be deposited instead of PSG after the introduction of impurities.

(実施形態1)開口部にPSG膜を設けるのではなく、参考形態4の方法に従いゲート電極5もしくはダミーゲート電極11に絶縁膜側壁(ゲートサイドウォール)を設けた後に、選択エピタキシャル成長によってチャネルタイプと同じ導電型の不純物を高濃度に含む半導体(Si、シリコン−ゲルマニウム混晶等)を、ソース/ドレイン接続部の側面に成長させると、図33、図70に示す形状の、ソース/ドレイン接続部が得られる。この場合ソース/ドレイン接続部の形状は、チャネル形成領域との接続点からゲートサイドウォールを隔た位置から、ソース/ドレイン領域に向かって傾斜しながら厚くなる形状を持つ。このような傾斜は選択エピタキシャル成長時に形成される晶癖(ファセット)に由来するものである。   (Embodiment 1) Instead of providing a PSG film in the opening, after providing an insulating film sidewall (gate sidewall) on the gate electrode 5 or the dummy gate electrode 11 according to the method of Reference Embodiment 4, a channel type is formed by selective epitaxial growth. When a semiconductor (Si, silicon-germanium mixed crystal or the like) containing impurities of the same conductivity type at a high concentration is grown on the side surface of the source / drain connection portion, the source / drain connection portion having the shape shown in FIGS. Is obtained. In this case, the source / drain connection portion has a shape that becomes thicker while being inclined toward the source / drain region from a position separating the gate sidewall from the connection point with the channel formation region. Such inclination is derived from crystal habits (facets) formed during selective epitaxial growth.

図33は選択的エピタキシャル成長を少なめに行った場合、図70は選択的エピタキシャル成長を多めに行った場合である。また、図34は選択エピタキシャル成長時に晶癖(ファセット)が形成されない場合、もしくはチャネルタイプと同じ導電型の不純物を高濃度に含む半導体(Si、シリコン−ゲルマニウム混晶等)のアモルファス層、あるいは多結晶よりなる層を選択的に成長した場合である。   FIG. 33 shows a case where a small amount of selective epitaxial growth is performed, and FIG. 70 shows a case where a large amount of selective epitaxial growth is performed. Further, FIG. 34 shows a case where a crystal habit (facet) is not formed during selective epitaxial growth, or a semiconductor (Si, silicon-germanium mixed crystal, etc.) amorphous layer or polycrystal containing impurities of the same conductivity type as the channel type at a high concentration. This is a case where a layer made of the layer is selectively grown.

一般に成長ガスの流量が比較的小さい場合、成長温度が比較的高温である場合にファセットが形成されやすい。ファセットが形成されない場合、ソース/ドレイン接続部が傾斜してゲート電極から後退する形状が得られず、ファセットが形成される場合に比べて、ソース/ドレイン接続部とゲート電極間の寄生容量が増す。この問題を避けるために、ファセットが形成されない図34では、ゲート電極(あるいはダミーゲート電極)に設ける側壁を、厚めに設定し、ゲート電極とソース/ドレイン接続部の寄生容量を小さくする方法を採用しても良い。   In general, when the growth gas flow rate is relatively small, facets are likely to be formed when the growth temperature is relatively high. When the facet is not formed, the source / drain connection part is inclined and the shape retreating from the gate electrode cannot be obtained, and the parasitic capacitance between the source / drain connection part and the gate electrode is increased as compared with the case where the facet is formed. . In order to avoid this problem, in FIG. 34 where the facet is not formed, a method is adopted in which the side wall provided on the gate electrode (or dummy gate electrode) is set thick so that the parasitic capacitance between the gate electrode and the source / drain connection portion is reduced. You may do it.

なお、選択エピタキシャル成長により形成された半導体層へは、選択エピタキシャル成長後に不純物(特にチャネルタイプと同一導電型で高濃度の不純物。典型的には1019cm-3以上の濃度)をイオン注入、プラズマドーピングなどの不純物導入工程によって導入しても良く、選択エピタキシャル成長時に不純物を含有するガスを供給することにより、成長と同時に不純物(特にチャネルタイプと同一導電型で高濃度の不純物。典型的には1019cm-3以上の濃度)を導入しても良い。なお、選択エピタキシャル成長後に不純物を導入する場合、選択エピタキシャル成長と同時に不純物を導入する必要は無い。また、成長と同時に不純物を導入した上、成長後改めて不純物を導入しても良い。また、選択エピタキシャル成長に限らず、他の半導体層の選択成長を行う場合も同様である(半導体の選択エピタキシャル成長、多結晶半導体またはアモルファス半導体の選択成長をまとめて半導体の選択成長という。)。半導体層の選択成長時または半導体層の選択成長後に不純物を導入するものとする。 Note that after the selective epitaxial growth, an impurity (especially a high-concentration impurity having the same conductivity type as the channel type, typically a concentration of 10 19 cm −3 or more) is ion-implanted and plasma-doped into the semiconductor layer formed by selective epitaxial growth. The impurity may be introduced by an impurity introduction step such as, and by supplying a gas containing impurities during selective epitaxial growth, impurities (especially the same conductivity type as the channel type and high concentration impurities. Typically, 10 19). A concentration of cm −3 or more) may be introduced. In addition, when introducing an impurity after selective epitaxial growth, it is not necessary to introduce an impurity simultaneously with selective epitaxial growth. Further, impurities may be introduced simultaneously with growth, and impurities may be introduced again after growth. The same applies to not only selective epitaxial growth but also selective growth of other semiconductor layers (selective growth of a semiconductor, selective growth of a polycrystalline semiconductor or an amorphous semiconductor are collectively referred to as selective growth of a semiconductor). Impurities are introduced during the selective growth of the semiconductor layer or after the selective growth of the semiconductor layer.

なお、半導体層の選択成長を行う際、ソース/ドレイン領域の上部が露出していればソース/ドレイン領域の上部にも上向きに選択成長が進む。ソース/ドレイン領域の上部がマスク膜9等に覆われて、露出していなければ、ソース/ドレイン領域の上部でエピタキシャル成長は起こらない。どちらであっても素子特性に悪影響はない。   When the selective growth of the semiconductor layer is performed, if the upper portion of the source / drain region is exposed, the selective growth proceeds upward also to the upper portion of the source / drain region. If the upper part of the source / drain region is covered with the mask film 9 or the like and is not exposed, epitaxial growth does not occur on the upper part of the source / drain region. In either case, the device characteristics are not adversely affected.

ソース/ドレイン領域の形成には、まず選択エピタキシャル成長(もしくは多結晶、アモルファスの選択成長後)、例えば全面に第3のCVD酸化膜を厚く(例えば200nm)堆積し、エッチバックすることによりソース/ドレイン接続部のうちゲート電極(またはダミーゲート電極)寄りの一部またはソース/ドレイン接続部の全部を覆う厚いゲート側壁(ここでは第3のCVD酸化膜)を設け(形態は前記PSG膜の側壁に似る。但し、半導体層上のマスク膜は、通常ゲートサイドウォールの形成と同時に除去されている。半導体層上のマスク膜が残存する場合、マスク膜の除去はCVD酸化膜側壁形成の前でも後でも良い)、続いて厚いゲート側壁(ここでは第3のCVD酸化膜)をマスクにソース/ドレイン領域を形成するための不純物導入、例えばイオン注入を行えば良い。ここで、ソース/ドレイン接続部のうち少なくともゲート電極(またはダミーゲート電極)寄りの一部を覆うのは、この領域のソース/ドレイン接続部は、基板平面方向の厚さが薄い半導体層により構成されており、イオン注入のダメージに弱いので、この部分をイオン注入から保護するためである。また、ダミーゲート自体がSi34、あるいは有機物等の絶縁体により形成される場合、ダミーゲートに側壁を形成する工程を省略した上、上記と同じ手順で、ソース/ドレイン接続部の側面に半導体の選択成長を行い、そののち、上記と同様にダミーゲートを除去して、ゲート電極を形成する工程を実施しても良い。 For the formation of the source / drain regions, first, selective epitaxial growth (or after selective growth of polycrystalline or amorphous) is performed, for example, a third CVD oxide film is deposited thickly (for example, 200 nm) on the entire surface, and etched back to form the source / drain regions. A thick gate side wall (in this case, a third CVD oxide film) is provided to cover a part of the connection part near the gate electrode (or dummy gate electrode) or the entire source / drain connection part (in this case, the third CVD oxide film is provided). However, the mask film on the semiconductor layer is usually removed simultaneously with the formation of the gate sidewall, and if the mask film on the semiconductor layer remains, the mask film can be removed before or after the CVD oxide sidewall formation. Then, a source / drain region is formed using a thick gate sidewall (here, a third CVD oxide film) as a mask. Net objects introduced, for example, may be performed ion implantation. Here, at least a part of the source / drain connection portion near the gate electrode (or dummy gate electrode) is covered. The source / drain connection portion in this region is constituted by a semiconductor layer having a small thickness in the substrate plane direction. This is to protect this part from ion implantation because it is vulnerable to ion implantation damage. In addition, when the dummy gate itself is formed of Si 3 N 4 or an insulator such as an organic substance, the step of forming the side wall of the dummy gate is omitted, and the same procedure as described above is performed on the side surface of the source / drain connection portion. After selectively growing the semiconductor, the dummy gate may be removed and the gate electrode may be formed in the same manner as described above.

CMOS構成の回路において、nチャネルMOSFET及びpチャネルMOSFETの両方を形成する必要がある場合、図21の形態を形成する工程を実施後、図22の形態を形成するエッチバックを行う前に、第二のチャネルタイプのトランジスタが形成される領域をレジストで覆いうことにより、第一のチャネルタイプのトランジスタに対してのみゲートサイドウォールの形成と半導体層3の露出にかかわるエッチング工程(図22、図25)を実施し、レジスト除去後にソース/ドレイン領域接続部へのエピタキシャル成長(あるいは半導体の選択成長)、ソース/ドレインの形成に係わる前記一連の工程を実施する。(あるいは、図20の形態を形成後、第二のチャネルタイプのトランジスタが形成される領域をレジストで覆い、第一のチャネルタイプのトランジスタに対してのみ、ゲート電極を覆う絶縁膜を、この場合はSi34膜とSiO2膜を、ある深さまでエッチングし、そののちレジストを除去してから第一のサイドウォール22を形成し、続いて、第二のチャネルタイプのトランジスタが形成される領域を再びレジストで覆い、図22の形状を形成するエッチングを行っても良い。あるいは図20の形状を両チャネルタイプのトランジスタに対して形成し、この後全体を薄いCVD酸化膜、例えば厚さ10nmで覆った後、それぞれのチャネルタイプのトランジスタを造る都度、各チャネルタイプのトランジスタ形成領域に開口を持つレジストパターンを設け、各チャネルタイプのトランジスタ形成領域の表面に設けられた薄いCVD酸化膜を除去したのち、レジストパターンを除去し、図22以降の形状を作製する工程を実施しても良い。)。その後全体を第4のCVD酸化膜で覆い(膜厚に制限は無い。10nm程度に薄くても良い。また平坦性を得るために200nm〜500nm程度に厚くしても良い。これらの中間の膜厚でも良い。)、第二のチャネルタイプのトランジスタを形成する領域に対して同様の工程を実施し、ゲートサイドウォールを形成すれば良い。 When it is necessary to form both an n-channel MOSFET and a p-channel MOSFET in a circuit having a CMOS structure, after performing the step of forming the form of FIG. 21 and before performing the etch back to form the form of FIG. By covering the region where the second channel type transistor is formed with a resist, only the first channel type transistor is subjected to the etching process related to the formation of the gate side wall and the exposure of the semiconductor layer 3 (FIG. 22, FIG. 25), and after the resist is removed, the above-described series of steps relating to epitaxial growth (or selective growth of a semiconductor) and source / drain formation on the source / drain region connection portion are performed. (Alternatively, after forming the configuration of FIG. 20, the region where the second channel type transistor is to be formed is covered with a resist, and the insulating film covering the gate electrode only in this case for the first channel type transistor. Etches the Si 3 N 4 film and the SiO 2 film to a certain depth, and then removes the resist before forming the first sidewall 22, followed by the formation of the second channel type transistor. The region may be covered again with resist and etched to form the shape of Fig. 22. Alternatively, the shape of Fig. 20 may be formed for both channel type transistors, followed by a thin CVD oxide, e.g. Each time a transistor of each channel type is manufactured after covering with 10 nm, a resist having an opening in each channel type transistor formation region A pattern may be provided to remove the thin CVD oxide film provided on the surface of each channel type transistor formation region, and then the resist pattern may be removed to form the shapes shown in FIG. Thereafter, the whole is covered with a fourth CVD oxide film (the film thickness is not limited. The film thickness may be as thin as 10 nm. In order to obtain flatness, it may be as thick as 200 nm to 500 nm. These intermediate films. The gate sidewall may be formed by performing a similar process on the region where the second channel type transistor is formed.

この実施例の製造方法は、チャネル形成領域が平行に配列しない縦型電界効果型トランジスタ(例えば図50の形状)の製造に用いても良い(図40)。単一の電流経路よりなる素子領域が形成される形(図40の破線部)に半導体のパターニングすることを除いて、各製造工程は(製造方法の参考形態4)に記載した上記製造方法と同一である。   The manufacturing method of this embodiment may be used for manufacturing a vertical field effect transistor (for example, the shape of FIG. 50) in which channel forming regions are not arranged in parallel (FIG. 40). Except for patterning the semiconductor into a shape in which an element region consisting of a single current path is formed (broken line portion in FIG. 40), each manufacturing process is the same as the manufacturing method described in (Reference Form 4 of Manufacturing Method). Are the same.

(実施形態2)実施形態1の製造方法を用いる場合、当初半導体層に設ける開口部の形状は、図32のように矩形とし、ゲート電極5(またはダミーゲート電極11)を形成後、ソース/ドレイン接続部32に半導体層の選択成長を行うことにより、ソース/ドレイン接続部32の幅がチャネル形成領域7側では狭く、ソース/ドレイン領域4側では広く、その間ではソース/ドレイン接続部32の幅が連続的、または段階的に変化する形状(図33、図34)を得ることができる。このとき、実施形態1と同様に、半導体層の選択成長時に、半導体層へのドーピングを同時に行っても良いし、半導体層の成長中はドーピングを行わずに、エピタキシャル成長後に、成長した半導体層へ不純物を導入する方法をとっても良い。また、成長と同時に不純物を導入した上、成長後改めて不純物を導入しても良い。   (Embodiment 2) When the manufacturing method of Embodiment 1 is used, the shape of the opening provided initially in the semiconductor layer is rectangular as shown in FIG. 32, and after forming the gate electrode 5 (or dummy gate electrode 11), the source / By performing selective growth of the semiconductor layer on the drain connection portion 32, the width of the source / drain connection portion 32 is narrow on the channel formation region 7 side, wide on the source / drain region 4 side, and between the source / drain connection portions 32. It is possible to obtain a shape (FIGS. 33 and 34) whose width changes continuously or stepwise. At this time, as in the first embodiment, the semiconductor layer may be simultaneously doped during the selective growth of the semiconductor layer, or during the growth of the semiconductor layer, the doping is not performed. A method of introducing impurities may be used. Further, impurities may be introduced simultaneously with growth, and impurities may be introduced again after growth.

この場合、図32のような矩形の開口を持つ形状は、以下のように形成できる。一つの例を図41〜図43を参照して説明する。シリコン基板1上に厚さ100nmのSiO2よりなる埋め込み絶縁層2を持ち、その上部に厚さ120nmの単結晶シリコン層よりなる半導体層3を持つSOI(シリコン・オン・インシュレータ)基板を用意する。 In this case, a shape having a rectangular opening as shown in FIG. 32 can be formed as follows. One example will be described with reference to FIGS. An SOI (silicon-on-insulator) substrate having a buried insulating layer 2 made of SiO 2 having a thickness of 100 nm on a silicon substrate 1 and having a semiconductor layer 3 made of a single crystal silicon layer having a thickness of 120 nm is prepared thereon. .

次に半導体層3の上部を20nm熱酸化することによりパッド酸化膜8を設け、その上部にCVD法により厚さ50nmのSi34膜9を設ける。 Next, a pad oxide film 8 is provided by thermally oxidizing the upper portion of the semiconductor layer 3 by 20 nm, and a Si 3 N 4 film 9 having a thickness of 50 nm is provided thereon by a CVD method.

次に第二のマスク材料41をその上に堆積する(ここでは第二のマスク材料41として厚さ20nmのポリシリコンをCVD法により堆積する)。   Next, a second mask material 41 is deposited thereon (here, polysilicon having a thickness of 20 nm is deposited as the second mask material 41 by a CVD method).

次に、リソグラフィ工程により、矩形が配列したレジストパターンを設け、このレジストをマスクに、第二のマスク材料41をパターニングし、矩形の第二のマスク材料41(ここではポリシリコン)が配列した形状を得る。ここで第二のマスク材料41の配列方向(図41では横方向)の幅は例えば50nmとする。次に配列の両端に位置する第二のマスク材料41を除く残りの第二のマスク材料41を覆う領域(図41の領域4
4)にレジストパターンを設け、このレジストをマスクに、配列の両端に位置する第二のマスク材料41をRIE等のエッチング処理により除去し、続いてレジストパターンを除去する。
Next, a resist pattern in which rectangles are arranged is provided by a lithography process, the second mask material 41 is patterned using this resist as a mask, and a shape in which the rectangles of the second mask material 41 (here, polysilicon) are arranged Get. Here, the width of the second mask material 41 in the arrangement direction (lateral direction in FIG. 41) is, for example, 50 nm. Next, a region covering the remaining second mask material 41 excluding the second mask material 41 located at both ends of the array (region 4 in FIG. 41).
In 4), a resist pattern is provided. Using this resist as a mask, the second mask material 41 located at both ends of the array is removed by an etching process such as RIE, and then the resist pattern is removed.

次に、矩形の第二のマスク材料41の両端部において、複数の第二のマスク材料41の一方の端を含む一定の領域を覆うレジストパターンを設ける(図41中の点線で囲まれた範囲の領域42)。   Next, a resist pattern covering a certain region including one end of the plurality of second mask materials 41 is provided at both ends of the rectangular second mask material 41 (range surrounded by a dotted line in FIG. 41). Area 42).

次にレジストパターンと、第二のマスク材料41をマスクに(すなわち、レジストパターンと、第二のマスク材料41に対して選択的に)、それらの下部に位置するマスク膜であるSi34膜9をパターニングする。ここでレジストを除去すれば、図42の形状が得られる。 Next, using the resist pattern and the second mask material 41 as a mask (that is, selectively with respect to the resist pattern and the second mask material 41), Si 3 N 4 which is a mask film located under them. The film 9 is patterned. If the resist is removed here, the shape of FIG. 42 is obtained.

引続いて、マスク材料9と第二のマスク材料41をマスクに、選択的RIEにより半導体層3(ここではシリコン)をエッチングすれば、図43の形状が得られる。ここで第二のマスク材料41であるポリシリコンとシリコン3との間には選択性がほとんどないので、半導体層3のエッチング中に第二のマスク材料41は失われるが、このとき第二のマスク材料41の下に位置するSi34膜9が露出し、Si34膜9がエッチングに対するマスクとなる。以後、他の実施形態と同様の手順で電界効果型トランジスタを形成する。但し、ソース/ドレイン領域接続部の側面に単結晶、アモルファスまたは多結晶の半導体層を選択的に堆積させる工程、及びそれに先行する側壁形成工程は実施形態1の手順を用いる。 Subsequently, when the semiconductor layer 3 (silicon in this case) is etched by selective RIE using the mask material 9 and the second mask material 41 as a mask, the shape of FIG. 43 is obtained. Here, since there is almost no selectivity between the polysilicon which is the second mask material 41 and the silicon 3, the second mask material 41 is lost during the etching of the semiconductor layer 3. The Si 3 N 4 film 9 located under the mask material 41 is exposed, and the Si 3 N 4 film 9 becomes a mask for etching. Thereafter, a field effect transistor is formed in the same procedure as in the other embodiments. However, the steps of the first embodiment are used for the step of selectively depositing a single crystal, amorphous or polycrystalline semiconductor layer on the side surface of the source / drain region connection portion and the side wall forming step preceding it.

図41の工程において、配列の両端に位置する第二のマスク材料41を取り除く目的は以下の通りである。パターンを形成するための露光時に、配列の両端に位置するパターンは近接効果の影響を受けて他のパターンとは異なる幅に形成される場合がある。パターン幅の異なる第二のマスク材料41が混在することは好ましくないので、両端のものを取り除くことが望ましい。但し、近接効果が小さい場合は、配列の両端に位置する
パターンを除く必要がない。また、逆に近接効果の影響が大きい場合は、配列の両端からそれぞれ複数個のパターンを適宜取り除けばよい。
In the process of FIG. 41, the purpose of removing the second mask material 41 located at both ends of the array is as follows. During exposure for forming a pattern, the patterns positioned at both ends of the array may be formed with a width different from other patterns due to the influence of the proximity effect. Since it is not preferable to mix the second mask material 41 having different pattern widths, it is desirable to remove the material at both ends. However, when the proximity effect is small, it is not necessary to remove the patterns located at both ends of the array. On the other hand, when the influence of the proximity effect is large, a plurality of patterns may be appropriately removed from both ends of the array.

また、配列の両端の第二のマスク材料41を除去せず、配列の両端の第二のマスク材料41に、領域42を覆うレジストパターンがかからないようにすることで、パターン幅が異なる配列の両端の第二のマスク材料41をマスクとして形成されるチャネル形成領域を成す半導体層をソース/ドレイン領域が形成される位置(ほぼ領域42に相当)から分離し、素子特性に影響を与えないようにすることもできる。   Further, the second mask material 41 at both ends of the array is not removed, and the second mask material 41 at both ends of the array is not covered with the resist pattern that covers the region 42, so that both ends of the array having different pattern widths can be obtained. The semiconductor layer forming the channel formation region formed by using the second mask material 41 as a mask is separated from the position where the source / drain region is formed (substantially corresponding to the region 42) so as not to affect the element characteristics. You can also

また、配列の両端から各一つまたは複数の第二のマスク材料41を除去する場合、複数の第二のマスク材料41の一端を覆うレジストパターンを設ける範囲(領域42)は、配列の両端からそれぞれ各一つまたは複数の第二のマスク材料41が除去された後であれば、配列の両端からそれぞれ各一つまたは複数の第二のマスク材料41が存在していた範囲にかかっていても構わない。   Moreover, when removing each one or several 2nd mask material 41 from the both ends of an arrangement | sequence, the range (area | region 42) which provides the resist pattern which covers the end of several 2nd mask material 41 is from both ends of an arrangement | sequence. After each of the one or more second mask materials 41 is removed, even if the respective one or more second mask materials 41 exist from both ends of the array, I do not care.

次に、チャネル形成領域をより細く形成するための実施形態について図44と図45を参照して説明する。図41〜図43の実施形態と同じく、シリコン基板1上に厚さ100nmのSiO2よりなる埋め込み絶縁層2を持ち、その上部に厚さ120nmの単結晶シリコン層よりなる半導体層3を持つSOI(シリコン・オン・インシュレータ)基板を用意する。 Next, an embodiment for forming a channel formation region more narrowly will be described with reference to FIGS. 44 and 45. FIG. Similar to the embodiment of FIGS. 41 to 43, an SOI having a buried insulating layer 2 made of SiO 2 having a thickness of 100 nm on a silicon substrate 1 and a semiconductor layer 3 made of a single crystal silicon layer having a thickness of 120 nm on the silicon substrate 1. Prepare a (silicon-on-insulator) substrate.

次に半導体層3の上部を20nm熱酸化することによりパッド酸化膜8を設け、その上部にCVD法により厚さ50nmのSi34膜9を設ける。 Next, a pad oxide film 8 is provided by thermally oxidizing the upper portion of the semiconductor layer 3 by 20 nm, and a Si 3 N 4 film 9 having a thickness of 50 nm is provided thereon by a CVD method.

次に全体に厚さ40nmのSiO2膜をCVDにより堆積し、これをパターニングすることにより、第二のマスク形成用ダミーパターン43(第二のマスクを形成するためのダミーパターンを意味する。マスク形成用ダミーパターンの第二ではない。)を形成する。 Next, a SiO 2 film having a thickness of 40 nm is deposited on the entire surface by CVD and patterned to form a second mask forming dummy pattern 43 (meaning a dummy pattern for forming a second mask). The second dummy pattern is not formed).

次に全体に厚さ30nmのポリシリコンを第二のマスク材料として堆積し、これをエッチバック(30nm〜50nm相当のエッチング)することにより、第二のマスク形成用ダミーパターン43周辺にポリシリコンの側壁を形成し、続いて第二のマスク形成用ダミーパターン43を希フッ酸、緩衝フッ酸等を用いて除去する。Si34膜9上に残ったポリシリコン側壁を図41における第二のマスク材料41に相当するものとする。 Next, polysilicon having a thickness of 30 nm is deposited as a second mask material as a whole, and this is etched back (etching equivalent to 30 nm to 50 nm) to thereby form polysilicon around the second mask forming dummy pattern 43. Sidewalls are formed, and then the second mask formation dummy pattern 43 is removed using dilute hydrofluoric acid, buffered hydrofluoric acid, or the like. The polysilicon side wall remaining on the Si 3 N 4 film 9 corresponds to the second mask material 41 in FIG.

以後、図41〜図43の工程と同じく、第二のマスク材料41の一方の端を含む一定の領域を覆うレジストパターンを設ける(図44中の点線で囲まれた42の範囲)。   Thereafter, similarly to the steps of FIGS. 41 to 43, a resist pattern is provided to cover a certain region including one end of the second mask material 41 (the range of 42 surrounded by a dotted line in FIG. 44).

次にレジストパターンと、第二のマスク材料41をマスクに、それらの下部に位置するマスク膜であるSi34膜9をパターニングする。ここでレジストを除去すれば、図45の形状が得られる。続いて、マスク材料9と第二のマスク材料41をマスクに、選択的RIEにより半導体層3(ここではシリコン)をエッチングすれば、図43と同様の形状が得られる。 Next, using the resist pattern and the second mask material 41 as a mask, the Si 3 N 4 film 9 which is a mask film located under them is patterned. If the resist is removed here, the shape of FIG. 45 is obtained. Subsequently, when the semiconductor layer 3 (silicon in this case) is etched by selective RIE using the mask material 9 and the second mask material 41 as a mask, the same shape as in FIG. 43 is obtained.

以後は、他の実施形態と同様の手順で電界効果型トランジスタを形成する。但し、ソース/ドレイン領域接続部の側面に単結晶、アモルファスまたは多結晶の半導体層を選択的に堆積させる工程、及びそれに先行する側壁形成工程は実施形態1の手順を用いる。   Thereafter, a field effect transistor is formed in the same procedure as in the other embodiments. However, the steps of the first embodiment are used for the step of selectively depositing a single crystal, amorphous or polycrystalline semiconductor layer on the side surface of the source / drain region connection portion and the side wall forming step preceding it.

図44と図45を参照して説明した工程では、チャネル形成領域を構成する半導体層の幅が、第二のマスク材料41を、第二のマスク形成用ダミーパターン43の側面に堆積した時の堆積厚さによって決まるが、一般にCVDにより堆積した膜の厚さは精度良く制御できるので、チャネル形成領域を構成する半導体層の幅を精度良く制御できる。   In the process described with reference to FIGS. 44 and 45, the width of the semiconductor layer constituting the channel formation region is the same as that when the second mask material 41 is deposited on the side surface of the second mask formation dummy pattern 43. Although it depends on the deposition thickness, generally, the thickness of a film deposited by CVD can be controlled with high accuracy, so that the width of the semiconductor layer constituting the channel formation region can be controlled with high accuracy.

また、同様に、堆積した膜の厚さに対する制御性が良いことから、チャネル形成領域を構成する半導体層の幅を小さくすることに対しても有利である。   Similarly, since the controllability with respect to the thickness of the deposited film is good, it is advantageous for reducing the width of the semiconductor layer constituting the channel formation region.

ここで、半導体層3はマスク膜9と第二のマスク材料41に対して、第二のマスク形成用ダミーパターン43は第二のマスク材料41とマスク膜9に対してそれぞれ選択的にエッチングできる材料を選んでいる。第二のマスク形成用ダミーパターン43は第二のマスク材料41に対してそれぞれ選択的にエッチングできる材料を選んでいる。但し、第二のマスク材料41とマスク膜9は同じ材料、例えばSi34膜とすることができる。第二のマスク材料41とマスク膜9を同じ材料とし、それぞれの膜厚をtmask1、tmask2とした場合、図41又は図44において符号42で示した範囲をレジストで覆った後、tmask2以上、tmask1+tmask2以下の量だけの膜厚をエッチングする条件でRIEを行えば、伝導経路の位置では、第二のマスク材料41とマスク膜9の両方が全て失われることが無いので、伝導経路の位置に第二のマスク材料41又はマスク膜9を残すことができる。 Here, the semiconductor layer 3 can be selectively etched with respect to the mask film 9 and the second mask material 41, and the second mask forming dummy pattern 43 can be selectively etched with respect to the second mask material 41 and the mask film 9, respectively. The material is selected. For the second mask forming dummy pattern 43, a material that can be selectively etched with respect to the second mask material 41 is selected. However, the second mask material 41 and the mask film 9 can be the same material, for example, a Si 3 N 4 film. When the second mask material 41 and the mask film 9 are made of the same material and the respective film thicknesses are t mask1 and t mask2 , the area indicated by reference numeral 42 in FIG. 41 or 44 is covered with a resist, and then t mask2 As described above, if RIE is performed under the condition of etching a film thickness equal to or less than t mask1 + t mask2 , both the second mask material 41 and the mask film 9 are not lost at the position of the conduction path. The second mask material 41 or the mask film 9 can be left at the position of the conduction path.

実施形態2において図41から図45を参照して説明した各製造方法は、参考形態4において述べたゲート電極への側壁形成を行わない場合、あるいは実施形態1において述べたソース/ドレイン接続部への選択エピタキシャル成長を行わない場合に適用しても良い。また、図32のように矩形の開口が設けられる場合に対して用いても良い。   In each of the manufacturing methods described with reference to FIGS. 41 to 45 in the second embodiment, the side wall is not formed on the gate electrode described in the fourth embodiment, or the source / drain connection described in the first embodiment is performed. This may be applied when the selective epitaxial growth is not performed. Moreover, you may use with respect to the case where a rectangular opening is provided like FIG.

また実施形態2において図41から図45を参照して説明した各製造方法を参考形態3、参考形態5において、開口部が配列したマスク膜を設ける工程に対して、置き換えても良い。但し開口部の境界に円弧を持つ場合、開口部が円形の場合、開口部の境界が開口部の配列方向に対して大きく(具体的には45度近く)傾いている場合は適さない。   Further, the manufacturing methods described with reference to FIGS. 41 to 45 in Embodiment 2 may be replaced with the steps of providing a mask film in which openings are arranged in Reference Embodiment 3 and Reference Embodiment 5. However, it is not suitable when there is an arc at the boundary of the opening, when the opening is circular, or when the boundary of the opening is greatly inclined (specifically, close to 45 degrees) with respect to the arrangement direction of the openings.

(実施形態3)参考形態3〜参考形態5、実施形態1、及び実施形態2において、ダミーゲートを設けず、半導体層3をパターニング(例えば図10)後に、直接ゲート絶縁膜及びゲート電極5を形成する場合等、ゲートサイドウォールにSi34の層を設ける必要がなく、ゲートサイドウォールをSiO2だけで構成する時(図58、図59のような場合)には、第一のサイドウォール22をSi34で構成することができる。この時、Si34に対してSiO2を選択的にエッチングできる条件を用いて第2のCVDSiO2膜21をエッチングすることにより、ゲートサイドウォールを形成しても良い。この時、半導体層3上のマスク膜9がSi34膜である場合、マスク膜9はゲートサイドウォールを形成した後も残留する。マスク膜9を除去したい場合には、ゲートサイドウォール形成後に、Si34をエッチングする作用のあるRIE工程を実施すれば良い。また、マスク膜9をそのまま残留させておいても良い。 (Embodiment 3) In Reference Embodiment 3 to Reference Embodiment 5, Embodiment 1, and Embodiment 2, a dummy gate is not provided and the semiconductor layer 3 is patterned (for example, FIG. 10), and then the gate insulating film and the gate electrode 5 are directly formed. In the case of forming the gate side wall, it is not necessary to provide the Si 3 N 4 layer, and when the gate side wall is composed only of SiO 2 (as shown in FIGS. 58 and 59), the first side The wall 22 can be made of Si 3 N 4 . At this time, the gate sidewall may be formed by etching the second CVD SiO 2 film 21 under the condition that SiO 2 can be selectively etched with respect to Si 3 N 4 . At this time, when the mask film 9 on the semiconductor layer 3 is an Si 3 N 4 film, the mask film 9 remains even after the gate sidewall is formed. If it is desired to remove the mask film 9, an RIE process having an action of etching Si 3 N 4 may be performed after the gate sidewall is formed. Further, the mask film 9 may be left as it is.

また、ゲート電極5を成すポリシリコン(あるいはこれに代わる、金属シリサイド、金属化合物等の導電体)を堆積したのち、この上部にSi34膜25を例えば20nm堆積し、ゲート電極と同じ形にパターニング(あるいは、レジストパターンを用いてSi34膜25をパターニングし、Si34膜25をマスクにゲート電極材料をエッチング)したのち、Si34の第一のサイドウォール26を設けても良い。この時、Si34の第一のサイドウォール26の下端は、Si34膜25の下端よりも下であっても良いし、上であっても良く、ほぼ同じ高さであっても良い。 Further, after depositing polysilicon forming the gate electrode 5 (or a conductor such as metal silicide or metal compound instead), a Si 3 N 4 film 25 is deposited on the upper portion thereof, for example, by 20 nm, and has the same shape as the gate electrode. After patterning (or patterning the Si 3 N 4 film 25 using a resist pattern and etching the gate electrode material using the Si 3 N 4 film 25 as a mask), the first sidewall 26 of Si 3 N 4 is formed. It may be provided. At this time, the lower end of the first side wall 26 the Si 3 N 4 may be a lower than the lower end of the Si 3 N 4 film 25 may be a top, a substantially the same height as Also good.

(実施形態4)参考形態3〜参考形態5、及び実施形態1〜実施形態3は、ソース/ドレイン領域間を複数の伝導経路で接続するようにパターニングされた半導体層(例えば図10)上にトランジスタを形成する場合に代えて、互いに分離して平行に配列した半導体層よりなる伝導経路上に、ダミーゲート絶縁膜(またはゲート絶縁膜)、ダミーゲート電極(またはゲート電極)を形成し、ゲートサイドウォールを形成したのちに、互いに分離して平行に配列した半導体層の側方に半導体層を選択的にエピタキシャル成長し、エピタキシャル成長した半導体層を互いに接合させることにより、ソース/ドレイン領域と成すトランジスタの製造方法に用いても良い。図66及び図67にその実施形態を表わす平面図を示す。図66は図41に係わる製造方法において領域42のレジストを省略した場合、図67は図44に係わる製造工程においてレジスト42を省略した場合に得られる形態を示す。図66及び図67中の破線27は、図66及び図67に係わる製造方法において、当初形成される互いに分離した半導体層の形状を示す。なお、図67において、伝導経路が2つの実施形態をとっても良く、発明の効果は変わらない。この場合、平行に配列した半導体層よりなる二つの伝導経路は分離していない点で、図66や図67の実施形態とは異なるが、幅の広いソース/ドレイン領域が形成されていない点で、図10の実施例とも異なる。但し、製造工程の手順においては図66や図67の場合と全く同一である。なお、図中の記号22は第一のサイドウォールを示し、ゲートサイドウォールは第一のサイドウォール22の下部に第一のサイドウォール22と同じ形状で設けられている。   (Embodiment 4) Reference Embodiments 3 to 5 and Embodiments 1 to 3 are formed on a semiconductor layer (for example, FIG. 10) patterned so as to connect source / drain regions with a plurality of conduction paths. Instead of forming a transistor, a dummy gate insulating film (or gate insulating film) and a dummy gate electrode (or gate electrode) are formed on a conductive path made of semiconductor layers arranged in parallel and separated from each other. After the sidewalls are formed, the semiconductor layers are selectively epitaxially grown on the sides of the semiconductor layers that are separated from each other and arranged in parallel, and the epitaxially grown semiconductor layers are joined to each other. You may use for a manufacturing method. 66 and 67 are plan views showing the embodiment. 66 shows a form obtained when the resist in the region 42 is omitted in the manufacturing method according to FIG. 41, and FIG. 67 shows a form obtained when the resist 42 is omitted in the manufacturing process according to FIG. 66 and 67 indicate the shapes of the semiconductor layers separated from each other initially formed in the manufacturing method according to FIGS. 66 and 67. In FIG. 67, the conduction path may take two embodiments, and the effect of the invention does not change. In this case, the two conduction paths made of the semiconductor layers arranged in parallel are not separated from each other in the embodiment shown in FIGS. 66 and 67, but the wide source / drain regions are not formed. 10 differs from the embodiment of FIG. However, the procedure of the manufacturing process is exactly the same as in the case of FIGS. In the figure, symbol 22 indicates a first sidewall, and the gate sidewall is provided below the first sidewall 22 in the same shape as the first sidewall 22.

(実施形態5)参考形態5のサイドウォールの製造方法は、絶縁体上の半導体層上にゲート電極(またはダミーゲート電極)を設ける場合に限らず、バルク基板上の凹凸のある半導体領域上に設けられたゲート電極(またはダミーゲート電極)にゲートサイドウォールを設ける際に用いても良い。   (Embodiment 5) The method of manufacturing the sidewall of Reference Embodiment 5 is not limited to the case where a gate electrode (or a dummy gate electrode) is provided on a semiconductor layer on an insulator, but on an uneven semiconductor region on a bulk substrate. You may use when providing a gate side wall in the provided gate electrode (or dummy gate electrode).

また、絶縁体上の半導体層上に設けられる電界効果型トランジスタにおいてゲート電極(あるいはダミーゲート電極)の下部に半導体層が残存する形態に対して用いても良い。   Further, a field effect transistor provided over a semiconductor layer over an insulator may be used for a form in which a semiconductor layer remains below a gate electrode (or a dummy gate electrode).

また、バルク基板上の凹凸のある半導体領域上、絶縁体上の半導体層上に設けられる電界効果型トランジスタにおいてゲート電極の下部に半導体層が残存する形態のいずれにおいても、伝導経路が単数である場合、複数である場合のいずれに用いても良い。   Further, in any of the modes in which the semiconductor layer remains below the gate electrode in the field effect transistor provided on the semiconductor region with unevenness on the bulk substrate and on the semiconductor layer on the insulator, the conduction path is singular. In some cases, a plurality of cases may be used.

また、凹凸のある半導体領域上に設けられるいかなる電界効果型トランジスタのゲート電極(もしくはダミーゲート電極)に対してサイドウォールを設ける場合に対して用いても良い。   Further, it may be used in the case where a sidewall is provided for a gate electrode (or a dummy gate electrode) of any field effect transistor provided on a semiconductor region having unevenness.

なお、図71、図72はバルク基板上の凹凸のある半導体領域上に設けられたゲート電極(またはダミーゲート電極)にゲートサイドウォールを設けた場合の形態、図73、図74は絶縁体上の半導体層上に設けられる電界効果型トランジスタにおいてゲート電極の下部に半導体層が残存する形態について、それぞれ図10のA10−A10’線断面、B10−B10’線断面に相当する位置で描いた断面図である。図中の下部SiO2膜28は、例えば図10に相当する形状を形成後、全体にCVDによりSiO2膜を堆積し、その表面を平坦化したのち、RIEによりエッチバックすることにより得られるもので、ゲート電極下部(ダミーゲート電極の除去後に埋め込まれるゲート電極の下部も含む)と、シリコン基板間の容量を低減する効果がある。なお、図71及び図72のシリコン基板1、図73及び図74の下部シリコン層29において、チャネル形成領域7よりも下の部分には、通常は3×1017cm-3以上、好ましくは3×1018cm-3以上の濃度の、チャネルタイプとは異なる導電型の不純物が導入されている。 71 and 72 show the case where a gate sidewall is provided on a gate electrode (or a dummy gate electrode) provided on an uneven semiconductor region on a bulk substrate, and FIGS. 73 and 74 show an insulator. Sections of the field-effect transistor provided on the semiconductor layer of FIG. 10 in which the semiconductor layer remains below the gate electrode are illustrated at positions corresponding to the A10-A10 ′ line cross section and the B10-B10 ′ line cross section of FIG. FIG. The lower SiO 2 film 28 in the figure is obtained, for example, by forming a shape corresponding to FIG. 10, depositing a SiO 2 film on the entire surface by CVD, planarizing the surface, and then etching back by RIE. Thus, there is an effect of reducing the capacitance between the lower portion of the gate electrode (including the lower portion of the gate electrode embedded after the removal of the dummy gate electrode) and the silicon substrate. In the silicon substrate 1 in FIGS. 71 and 72 and the lower silicon layer 29 in FIGS. 73 and 74, the portion below the channel formation region 7 is usually 3 × 10 17 cm −3 or more, preferably 3 An impurity of a conductivity type different from the channel type at a concentration of × 10 18 cm −3 or more is introduced.

本発明の参考形態を示す鳥瞰図である。It is a bird's-eye view which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す鳥瞰図である。It is a bird's-eye view which shows the reference form of this invention. 本発明の実施形態を示す鳥瞰図である。It is a bird's-eye view which shows embodiment of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す鳥瞰図である。It is a bird's-eye view which shows the reference form of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す平面図である。It is a top view which shows the reference form of this invention. 本発明の参考形態を示す鳥瞰図である。It is a bird's-eye view which shows the reference form of this invention. 本発明の参考形態を示す鳥瞰図である。It is a bird's-eye view which shows the reference form of this invention. 従来の技術を説明する鳥瞰図である。It is a bird's-eye view explaining the prior art. 本発明の製造方法の効果を説明するための平面図である。It is a top view for demonstrating the effect of the manufacturing method of this invention. 従来の素子構造を示す平面図である。It is a top view which shows the conventional element structure. 本発明の素子構造を説明するための断面図である。It is sectional drawing for demonstrating the element structure of this invention. 本発明の効果を説明する平面図である。It is a top view explaining the effect of the present invention. 本発明の効果を説明する平面図である。It is a top view explaining the effect of the present invention. 本発明の効果を説明する断面図である。It is sectional drawing explaining the effect of this invention. 本発明の効果を説明する断面図である。It is sectional drawing explaining the effect of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す断面図である。It is sectional drawing which shows the reference form of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の参考形態を示す断面図ある。It is sectional drawing which shows the reference form of this invention. 本発明の参考形態を示す鳥瞰図である。It is a bird's-eye view which shows the reference form of this invention. 本発明の実施形態を示す平面図である。It is a top view which shows embodiment of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention. 本発明の実施形態を示す断面図である。It is sectional drawing which shows embodiment of this invention.

符号の説明Explanation of symbols

1 シリコン基板
2 埋め込み絶縁層
3 半導体層
4 ソース/ドレイン領域
5 ゲート電極
6 ゲート絶縁膜
7 チャネル形成領域
8 パッド酸化膜
9 Si34
10 開口部
11 ダミーゲート電極
12 PSG膜
13 層間絶縁膜
14 ゲート絶縁膜
15 素子領域
16 ソース/ドレインコンタクト
17 ゲートコンタクト
18 ダミーゲート絶縁膜
19 開口形成領域
20 第2のSi34
21 第2のSiO2
22 第1のサイドウォール
23 層間絶縁膜
24 金属配線
25 ゲート上Si34
26 Si34の第一のサイドウォール
27 当初形成される半導体層
28 下部CVDSiO2
29 下部シリコン層
31 伝導経路配置領域
32 ソース/ドレイン接続部
33 伝導経路
34 開口配列領域
35 一つの伝導経路
36 ゲート側面−ソース/ドレイン側面間容量
41 第二のマスク材料
42 レジストパターンの範囲(形成領域)
43 第二のマスク形成用ダミーパターン
44 レジストパターンの範囲(形成領域)
101 半導体基板
102 絶縁体
103 半導体層
104 ゲート絶縁膜
105 ゲート電極
1 silicon substrate 2 buried insulating layer 3 semiconductor layer 4 source / drain region 5 gate electrode 6 gate insulating film 7 channel forming region 8 pad oxide film 9 Si 3 N 4 film 10 opening 11 dummy gate electrode 12 PSG film 13 interlayer insulating film 14 Gate insulating film 15 Element region 16 Source / drain contact 17 Gate contact 18 Dummy gate insulating film 19 Opening formation region 20 Second Si 3 N 4 film 21 Second SiO 2 film 22 First sidewall 23 Interlayer insulating film 24 Metal wiring 25 Si 3 N 4 film on gate 26 First sidewall 27 of Si 3 N 4 Initially formed semiconductor layer 28 Lower CVD SiO 2 film 29 Lower silicon layer 31 Conductive path arrangement region 32 Source / drain connection 33 Conductive path 34 Open array region 35 Single conductive path 36 Gate side surface-source / drain Down side capacitance 41 second mask material 42 resist pattern range (formation region)
43 Second mask formation dummy pattern 44 Resist pattern range (formation region)
101 Semiconductor substrate 102 Insulator 103 Semiconductor layer 104 Gate insulating film 105 Gate electrode

Claims (10)

矩形断面を持つ半導体領域を跨ぐように、ゲート絶縁膜を介してゲート電極を設け、続いてゲート電極のうち、少なくとも前記略矩形の断面を持つ半導体領域の上端よりも低い位置を絶縁膜で覆うとともに、前記略矩形の断面を持つ半導体の側面のうちゲート電極に覆われない領域の少なくとも一部を露出させ、前記露出した前記略矩形の断面を持つ半導体の側面に、半導体を選択的に成長させ、選択成長と同時または選択成長後に選択的に成長させた前記半導体に不純物を導入することにより、選択的に成長させた前記半導体をソース/ドレイン領域もしくはソース/ドレインエクステンション領域となすことを特徴とする電界効果型トランジスタの製造方法。 A gate electrode is provided via a gate insulating film so as to straddle a semiconductor region having a rectangular cross section, and at least a position lower than the upper end of the semiconductor region having the substantially rectangular cross section is covered with the insulating film. And at least a part of the side surface of the semiconductor having the substantially rectangular cross section that is not covered by the gate electrode is exposed, and the semiconductor is selectively grown on the exposed side surface of the semiconductor having the substantially rectangular cross section. And selectively introducing the impurity into the semiconductor grown at the same time as the selective growth or after the selective growth, so that the selectively grown semiconductor becomes a source / drain region or a source / drain extension region. A method of manufacturing a field effect transistor. 略矩形の断面を持つ半導体領域を跨ぐように、ダミーゲート電極を設け、続いてダミーゲート電極のうち、少なくとも前記略矩形の断面を持つ半導体領域の上端よりも低い位置を絶縁膜で覆うとともに、前記略矩形の断面を持つ半導体の側面のうちダミーゲート電極に覆われない領域の少なくとも一部を露出させ、前記露出した前記略矩形の断面を持つ半導体の側面に、半導体を選択的に成長させ、選択成長と同時または選択成長後に選択的に成長させた前記半導体に不純物を導入することにより、選択的に成長させた前記半導体をソース/ドレイン領域もしくはソース/ドレインエクステンション領域となす工程と、前記ダミーゲート電極を絶縁膜で覆ったのち、前記ダミーゲート電極の一部を露出させて、該ダミーゲート電極をエッチングにより除去し、得られたスリット中にゲート絶縁膜とゲート電極とを設ける工程とを含むことを特徴とする電界効果型トランジスタの製造方法。 A dummy gate electrode is provided so as to straddle a semiconductor region having a substantially rectangular cross section, and subsequently, at least a position lower than the upper end of the semiconductor region having the substantially rectangular cross section is covered with an insulating film among the dummy gate electrodes. Exposing at least a part of a region of the side surface of the semiconductor having a substantially rectangular cross section that is not covered by the dummy gate electrode, and selectively growing the semiconductor on the exposed side surface of the semiconductor having the substantially rectangular cross section. A step of making the selectively grown semiconductor a source / drain region or a source / drain extension region by introducing impurities into the semiconductor selectively grown simultaneously with or after the selective growth; After covering the dummy gate electrode with an insulating film, a part of the dummy gate electrode is exposed to etch the dummy gate electrode. Field effect method for producing a transistor, which comprises a step of removing, resulting providing a gate insulating film and a gate electrode in a slit by. 略矩形の断面を持つ半導体領域を跨ぐように、絶縁体よりなるダミーゲート電極を設け、続いて前記略矩形の断面を持つ半導体の側面のうちダミーゲート電極に覆われない領域の少なくとも一部を露出させ、前記露出した前記略矩形の断面を持つ半導体の側面に、半導体を選択的に成長させ、選択成長と同時または選択成長後に選択的に成長させた前記半導体に不純物を導入することにより、選択的に成長させた半導体をソース/ドレイン領域もしくはソース/ドレインエクステンション領域と成す工程と、前記ダミーゲート電極を絶縁膜で覆ったのち、前記ダミーゲート電極の一部を露出させて、該ダミーゲート電極をエッチングにより除去し、得られたスリット中にゲート絶縁膜とゲート電極とを設ける工程とを含むことを特徴とする電界効果型トランジスタの製造方法。 A dummy gate electrode made of an insulator is provided so as to straddle a semiconductor region having a substantially rectangular cross section, and at least a part of the side surface of the semiconductor having the substantially rectangular cross section that is not covered by the dummy gate electrode is provided. By exposing and selectively growing a semiconductor on a side surface of the exposed semiconductor having the substantially rectangular cross section, and introducing impurities into the selectively grown semiconductor simultaneously with or after the selective growth; Forming a selectively grown semiconductor as a source / drain region or a source / drain extension region; and covering the dummy gate electrode with an insulating film, exposing a part of the dummy gate electrode, and An electrode is removed by etching, and a gate insulating film and a gate electrode are provided in the obtained slit. Method of manufacturing the effect type transistor. 前記略矩形の断面を持つ半導体が複数配列し、単一のゲート電極または単一のダミーゲート電極を、配列したこれら複数の略矩形の断面を持つ半導体を跨ぐように形成することを特徴とする請求項1から3のいずれか1項に記載の電界効果型トランジスタの製造方法。 A plurality of semiconductors having a substantially rectangular cross section are arranged, and a single gate electrode or a single dummy gate electrode is formed so as to straddle the plurality of arranged semiconductors having a substantially rectangular cross section. The method for manufacturing a field effect transistor according to claim 1. 複数配列した前記略矩形の断面を持つ半導体は、単一のゲート電極または単一のダミーゲート電極が設けられる位置からある一定の間隔を置いた位置において、互いに接続するようにして設けられることを特徴とする請求項4に記載の電界効果型トランジスタの製造方法。 A plurality of the semiconductors having a substantially rectangular cross section are provided so as to be connected to each other at a certain distance from a position where a single gate electrode or a single dummy gate electrode is provided. The method of manufacturing a field effect transistor according to claim 4, wherein 前記略矩形の断面を持つ半導体の側面への半導体の選択成長時に、単一のゲート電極または単一のダミーゲート電極が設けられる位置からある一定の間隔を置いた位置において、選択成長した半導体が互いに接触して接続することを特徴とする請求項1から3のいずれか1項に記載の電界効果型トランジスタの製造方法。 During the selective growth of the semiconductor on the side surface of the semiconductor having the substantially rectangular cross section, the selectively grown semiconductor is located at a certain distance from the position where the single gate electrode or the single dummy gate electrode is provided. 4. The method of manufacturing a field effect transistor according to claim 1, wherein the field effect transistors are connected in contact with each other. 半導体の選択成長を、選択的エピタキシャル成長により実施することを特徴とする請求項1から6のいずれか1項に記載の電界効果型トランジスタの製造方法。 7. The method of manufacturing a field effect transistor according to claim 1, wherein the selective growth of the semiconductor is performed by selective epitaxial growth. 前記略矩形の断面を持つ半導体の側面へ選択成長した半導体が、少なくともゲート電極またはダミーゲート電極から一定の範囲内の位置において、ゲート電極またはダミーゲート電極から離れるに従って厚くなるように形成されることを特徴とする請求項7に記載の電界効果型トランジスタの製造方法。 The semiconductor selectively grown on the side surface of the semiconductor having the substantially rectangular cross section is formed so as to increase in thickness at a position within a certain range from the gate electrode or dummy gate electrode as the distance from the gate electrode or dummy gate electrode increases. The method for producing a field effect transistor according to claim 7. 突起形状をもつ半導体領域上に、絶縁膜を介して導電性のゲート電極を設け、前記ゲート電極を絶縁体中に埋め込む工程と、前記ゲート電極を覆う前記絶縁体の上部をエッチングにより除去し、前記ゲート電極の上部を露出させ、引続いて露出した前記ゲート電極の両側に第一の側壁を設け、前記ゲート電極及び前記第一の側壁をマスクに前記ゲート電極を覆う前記絶縁体をエッチバックして、前記第一の側壁の下部において前記ゲート電極の側面に絶縁体よりなるゲート側壁を形成する工程とを含むことを特徴とする請求項1から8のいずれか1項に記載の電界効果型トランジスタの製造方法。 A conductive gate electrode is provided on a semiconductor region having a protruding shape via an insulating film, and the step of embedding the gate electrode in the insulator, and removing the upper portion of the insulator covering the gate electrode by etching, An upper portion of the gate electrode is exposed, a first sidewall is provided on both sides of the exposed gate electrode, and the insulator covering the gate electrode is etched back using the gate electrode and the first sidewall as a mask. And forming a gate side wall made of an insulator on a side surface of the gate electrode at a lower portion of the first side wall. 9. The field effect according to claim 1, Type transistor manufacturing method. 突起形状をもつ半導体領域上にダミーゲート電極を設け、前記ダミーゲート電極を絶縁体中に埋め込む工程と、前記ダミーゲート電極を覆う前記絶縁体の上部をエッチングにより除去し、ダミーゲート電極の上部を露出させ、引続いて露出した前記ダミーゲート電極の両側に第一の側壁を設け、前記ダミーゲート電極及び前記第一の側壁をマスクに前記ダミーゲート電極を覆う前記絶縁体をエッチバックして、前記第一の側壁の下部において前記ダミーゲート電極の側面に絶縁体よりなるゲート側壁を形成する工程とを含むことを特徴とする請求項2、4から8のいずれか1項に記載の電界効果型トランジスタの製造方法。 A dummy gate electrode is provided on a semiconductor region having a protruding shape, the dummy gate electrode is embedded in an insulator, and an upper portion of the insulator covering the dummy gate electrode is removed by etching, and an upper portion of the dummy gate electrode is formed. Etching back the insulator covering the dummy gate electrode using the dummy gate electrode and the first side wall as a mask by providing a first side wall on both sides of the exposed dummy gate electrode. 9. The field effect according to claim 2, further comprising: forming a gate side wall made of an insulator on a side surface of the dummy gate electrode at a lower portion of the first side wall. Type transistor manufacturing method.
JP2007288260A 2007-11-06 2007-11-06 Manufacturing method of fet Pending JP2008085357A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007288260A JP2008085357A (en) 2007-11-06 2007-11-06 Manufacturing method of fet

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007288260A JP2008085357A (en) 2007-11-06 2007-11-06 Manufacturing method of fet

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000185181A Division JP4058751B2 (en) 2000-06-20 2000-06-20 Method for manufacturing field effect transistor

Publications (1)

Publication Number Publication Date
JP2008085357A true JP2008085357A (en) 2008-04-10

Family

ID=39355805

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007288260A Pending JP2008085357A (en) 2007-11-06 2007-11-06 Manufacturing method of fet

Country Status (1)

Country Link
JP (1) JP2008085357A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012199274A (en) * 2011-03-18 2012-10-18 Toshiba Corp Semiconductor device and manufacturing method thereof
JP2012531745A (en) * 2009-06-25 2012-12-10 インターナショナル・ビジネス・マシーンズ・コーポレーション FET with replacement gate structure and method of manufacturing the same
DE102012214077A1 (en) * 2012-04-24 2013-10-24 Globalfoundries Inc. Integrated circuits with protruding source and drain regions and method for forming integrated circuits
JP5404812B2 (en) * 2009-12-04 2014-02-05 株式会社東芝 Manufacturing method of semiconductor device
US8884298B2 (en) 2012-06-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern and method of forming the same
CN106463545A (en) * 2016-07-08 2017-02-22 京东方科技集团股份有限公司 Thin film transistor, manufacturing method, array substrate row driving circuit and display device

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012531745A (en) * 2009-06-25 2012-12-10 インターナショナル・ビジネス・マシーンズ・コーポレーション FET with replacement gate structure and method of manufacturing the same
JP5404812B2 (en) * 2009-12-04 2014-02-05 株式会社東芝 Manufacturing method of semiconductor device
US8999801B2 (en) 2011-03-18 2015-04-07 Kabushiki Kaisha Toshiba Nanowire channel field effect device and method for manufacturing the same
JP2012199274A (en) * 2011-03-18 2012-10-18 Toshiba Corp Semiconductor device and manufacturing method thereof
DE102012214077A1 (en) * 2012-04-24 2013-10-24 Globalfoundries Inc. Integrated circuits with protruding source and drain regions and method for forming integrated circuits
DE102012214077B4 (en) * 2012-04-24 2017-02-23 Globalfoundries Inc. Method for forming an integrated circuit
US8912606B2 (en) 2012-04-24 2014-12-16 Globalfoundries Inc. Integrated circuits having protruding source and drain regions and methods for forming integrated circuits
US8962435B2 (en) 2012-06-25 2015-02-24 Samsung Electronics Co., Ltd. Method of forming semiconductor device having embedded strain-inducing pattern
US9240481B2 (en) 2012-06-25 2016-01-19 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern
US8884298B2 (en) 2012-06-25 2014-11-11 Samsung Electronics Co., Ltd. Semiconductor device having embedded strain-inducing pattern and method of forming the same
CN106463545A (en) * 2016-07-08 2017-02-22 京东方科技集团股份有限公司 Thin film transistor, manufacturing method, array substrate row driving circuit and display device
KR20190079708A (en) * 2016-07-08 2019-07-05 보에 테크놀로지 그룹 컴퍼니 리미티드 Thin film transistor, gate drive on array and display apparatus having the same, and fabricating method thereof
KR102104471B1 (en) 2016-07-08 2020-04-24 보에 테크놀로지 그룹 컴퍼니 리미티드 Thin film transistor, gate drive on array and display apparatus having the same, and fabricating method thereof

Similar Documents

Publication Publication Date Title
JP4058751B2 (en) Method for manufacturing field effect transistor
JP3543946B2 (en) Field effect transistor and method of manufacturing the same
US9368411B2 (en) Method for the formation of fin structures for FinFET devices
US6919238B2 (en) Silicon on insulator (SOI) transistor and methods of fabrication
KR100578130B1 (en) Multi silicon fins for finfet and method for fabricating the same
KR100781580B1 (en) A dual structure finfet and the manufacturing method the same
JP4202563B2 (en) Semiconductor device
US20060202276A1 (en) Semiconductor device and method of making semiconductor devices
JP2013058740A (en) Replacement source/drain finfet fabrication
JP2008124423A (en) Method for manufacturing semiconductor device and semiconductor device
JP2010073869A (en) Semiconductor device and method of manufacturing the same
US7122431B2 (en) Methods of fabrication metal oxide semiconductor (MOS) transistors having buffer regions below source and drain regions
JP2007027232A (en) Semiconductor device and manufacturing method thereof
JP2008085357A (en) Manufacturing method of fet
JP2007158139A (en) Semiconductor device and method of manufacturing same
JP4231909B2 (en) Manufacturing method of semiconductor device
JP2005332993A (en) Semiconductor device and method for manufacturing the same
JP3790238B2 (en) Semiconductor device
JPH11145475A (en) Field effect transistor and manufacture thereof
KR20070095062A (en) Fin fet and method for fabricating the same
JP4036341B2 (en) Semiconductor device and manufacturing method thereof
JP4626500B2 (en) Manufacturing method of semiconductor device
KR19990075417A (en) Manufacturing Method of Semiconductor Device
JP2000332255A (en) Thin-film transistor and manufacture thereof
JP2001168334A (en) Power field-effect transistor and its manufacturing method

Legal Events

Date Code Title Description
RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20080616

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090317

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20090511

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090518

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090609

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090902

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090914

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20091204