KR100569708B1 - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
KR100569708B1
KR100569708B1 KR1020040006823A KR20040006823A KR100569708B1 KR 100569708 B1 KR100569708 B1 KR 100569708B1 KR 1020040006823 A KR1020040006823 A KR 1020040006823A KR 20040006823 A KR20040006823 A KR 20040006823A KR 100569708 B1 KR100569708 B1 KR 100569708B1
Authority
KR
South Korea
Prior art keywords
pattern
gate
forming
conductive pattern
semiconductor layer
Prior art date
Application number
KR1020040006823A
Other languages
Korean (ko)
Other versions
KR20050078793A (en
Inventor
정무경
오창봉
강희성
고영건
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040006823A priority Critical patent/KR100569708B1/en
Publication of KR20050078793A publication Critical patent/KR20050078793A/en
Application granted granted Critical
Publication of KR100569708B1 publication Critical patent/KR100569708B1/en

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61HPHYSICAL THERAPY APPARATUS, e.g. DEVICES FOR LOCATING OR STIMULATING REFLEX POINTS IN THE BODY; ARTIFICIAL RESPIRATION; MASSAGE; BATHING DEVICES FOR SPECIAL THERAPEUTIC OR HYGIENIC PURPOSES OR SPECIFIC PARTS OF THE BODY
    • A61H23/00Percussion or vibration massage, e.g. using supersonic vibration; Suction-vibration massage; Massage with moving diaphragms
    • A61H23/02Percussion or vibration massage, e.g. using supersonic vibration; Suction-vibration massage; Massage with moving diaphragms with electric or magnetic drive
    • A61H23/0218Percussion or vibration massage, e.g. using supersonic vibration; Suction-vibration massage; Massage with moving diaphragms with electric or magnetic drive with alternating magnetic fields producing a translating or oscillating movement
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61HPHYSICAL THERAPY APPARATUS, e.g. DEVICES FOR LOCATING OR STIMULATING REFLEX POINTS IN THE BODY; ARTIFICIAL RESPIRATION; MASSAGE; BATHING DEVICES FOR SPECIAL THERAPEUTIC OR HYGIENIC PURPOSES OR SPECIFIC PARTS OF THE BODY
    • A61H23/00Percussion or vibration massage, e.g. using supersonic vibration; Suction-vibration massage; Massage with moving diaphragms
    • A61H23/006Percussion or tapping massage
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61HPHYSICAL THERAPY APPARATUS, e.g. DEVICES FOR LOCATING OR STIMULATING REFLEX POINTS IN THE BODY; ARTIFICIAL RESPIRATION; MASSAGE; BATHING DEVICES FOR SPECIAL THERAPEUTIC OR HYGIENIC PURPOSES OR SPECIFIC PARTS OF THE BODY
    • A61H2201/00Characteristics of apparatus not provided for in the preceding codes
    • A61H2201/01Constructive details
    • A61H2201/0165Damping, vibration related features
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61HPHYSICAL THERAPY APPARATUS, e.g. DEVICES FOR LOCATING OR STIMULATING REFLEX POINTS IN THE BODY; ARTIFICIAL RESPIRATION; MASSAGE; BATHING DEVICES FOR SPECIAL THERAPEUTIC OR HYGIENIC PURPOSES OR SPECIFIC PARTS OF THE BODY
    • A61H2201/00Characteristics of apparatus not provided for in the preceding codes
    • A61H2201/16Physical interface with patient
    • A61H2201/1602Physical interface with patient kind of interface, e.g. head rest, knee support or lumbar support
    • A61H2201/164Feet or leg, e.g. pedal
    • A61H2201/1642Holding means therefor
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61HPHYSICAL THERAPY APPARATUS, e.g. DEVICES FOR LOCATING OR STIMULATING REFLEX POINTS IN THE BODY; ARTIFICIAL RESPIRATION; MASSAGE; BATHING DEVICES FOR SPECIAL THERAPEUTIC OR HYGIENIC PURPOSES OR SPECIFIC PARTS OF THE BODY
    • A61H2201/00Characteristics of apparatus not provided for in the preceding codes
    • A61H2201/50Control means thereof
    • A61H2201/5023Interfaces to the user
    • A61H2201/5038Interfaces to the user freely programmable by the user
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61HPHYSICAL THERAPY APPARATUS, e.g. DEVICES FOR LOCATING OR STIMULATING REFLEX POINTS IN THE BODY; ARTIFICIAL RESPIRATION; MASSAGE; BATHING DEVICES FOR SPECIAL THERAPEUTIC OR HYGIENIC PURPOSES OR SPECIFIC PARTS OF THE BODY
    • A61H2205/00Devices for specific parts of the body
    • A61H2205/12Feet

Landscapes

  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Pain & Pain Management (AREA)
  • Physical Education & Sports Medicine (AREA)
  • Rehabilitation Therapy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Veterinary Medicine (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

리세스된 채널을 갖는 반도체 장치 및 그 제조 방법이 개시되어 있다. 액티브 및 필드 영역이 구분된 반도체 기판이 구비된다. 상기 액티브 영역 상에 형성되고, 게이트 형성 부위에 게이트 트렌치를 갖는 반도체층이 구비된다. 상기 게이트 트렌치 내부를 채우면서 상기 반도체층 상부로 일부 돌출되는 게이트 구조물이 구비된다. 상기 게이트 구조물 양측의 반도체층 표면 아래에 형성된 불순물 영역들이 구비된다. 상기 불순물 영역들과 접속하고, 적어도 상기 불순물 영역 전체를 덮는 도전 패턴을 구비하는 반도체 장치를 제공한다. 상기 반도체 장치는 상기 도전 패턴을 구비함으로서, 이 후의 콘택 형성시에 얼라인 마진이 증가되어 상기 기판 상에 형성되는 액티브 영역의 면적을 더욱 축소시킬 수 있다. Disclosed are a semiconductor device having a recessed channel and a method of manufacturing the same. A semiconductor substrate having active and field regions is provided. A semiconductor layer is formed on the active region and has a gate trench in a gate formation portion. A gate structure partially protruding above the semiconductor layer while filling the gate trench is provided. Impurity regions formed under the surface of the semiconductor layer on both sides of the gate structure are provided. A semiconductor device provided with a conductive pattern connected to the impurity regions and covering at least the entire impurity region is provided. Since the semiconductor device includes the conductive pattern, the alignment margin is increased during subsequent contact formation, thereby further reducing the area of the active region formed on the substrate.

Description

반도체 장치 및 그 제조 방법{Semiconductor device and method of manufacturing the same}Semiconductor device and method of manufacturing the same

도 1은 본 발명의 제1 실시예에 따른 반도체 장치의 단면도이다. 1 is a cross-sectional view of a semiconductor device according to a first embodiment of the present invention.

도 2는 본 발명의 제1 실시예에 따른 반도체 장치의 레이아웃도이다. 2 is a layout diagram of a semiconductor device according to a first embodiment of the present invention.

도 3a 내지 3n은 본 발명의 제1 실시예에 의한 반도체 장치의 제조 방법을 나타내는 단면도들이다. 3A to 3N are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with a first embodiment of the present invention.

도 4는 본 발명의 제2 실시예에 따른 반도체 장치의 레이아웃도이다.4 is a layout diagram of a semiconductor device according to a second embodiment of the present invention.

도 5는 본 발명의 제2 실시예에 따른 반도체 장치의 단면도이다.5 is a cross-sectional view of a semiconductor device according to a second exemplary embodiment of the present invention.

도 6은 본 발명의 제3 실시예에 따른 반도체 장치의 단면도이다.6 is a cross-sectional view of a semiconductor device according to a third exemplary embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

100 : 기판 102 : 필드 영역100: substrate 102: field area

103 : 액티브 영역 105a : 버퍼 산화막 패턴103: active region 105a: buffer oxide film pattern

107a : 더미 게이트 패턴 108 : 더미 게이트 구조물107a: dummy gate pattern 108: dummy gate structure

110 : 반도체층 112a : 저농도 불순물 영역110: semiconductor layer 112a: low concentration impurity region

120 : 게이트 트렌치 122 : 게이트 절연막 패턴120: gate trench 122: gate insulating film pattern

126 : 게이트 전극 패턴 128 : 스페이서126: gate electrode pattern 128: spacer

130 : 고농도 불순물 영역 132 : 캡핑 패턴130: high concentration impurity region 132: capping pattern

134 : 도전 패턴 136 : 금속 실리사이드 패턴134: conductive pattern 136: metal silicide pattern

138 : 층간 절연막 140 : 콘택138: interlayer insulating film 140: contact

본 발명은 반도체 장치 및 그 제조방법에 관한 것으로, 보다 상세하게는 리세스 채널을 갖는 모오스(MOS) 트랜지스터 반도체 장치 및 그 제조방법에 관한 것이다.The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a MOS transistor semiconductor device having a recess channel and a method of manufacturing the same.

반도체 장치가 고집적화됨에 따라, MOS 트랜지스터의 게이트 길이는 매우 감소되고 있다. 상기 게이트 길이의 감소에 따라 상기 MOS 트랜지스터의 채널 길이 역시 줄어들게 되었다. MOS 트랜지스터의 채널 길이가 작아지면, 게이트 전극에 의한 채널 구동능력이 감소되고 소오스/드레인에 의해 발생하는 전계가 채널 영역에 미치는 영향성이 현저해지는데 이러한 현상을 쇼트-채널 효과(short channel effect)라 한다. 즉, 상기 게이트 길이의 감소에 따라, 오프 전류가 증가하게 되어 트랜지스터의 전력 소모가 증가되고 있다.As semiconductor devices become more integrated, the gate length of MOS transistors is greatly reduced. As the gate length decreases, the channel length of the MOS transistor also decreases. As the channel length of the MOS transistor decreases, the channel driving ability by the gate electrode decreases, and the influence of the electric field generated by the source / drain on the channel region becomes remarkable. This phenomenon is referred to as a short channel effect. It is called. That is, as the gate length decreases, the off current increases, and power consumption of the transistor increases.

또한, 상기 반도체 장치의 집적도를 증가시키기 위해 액티브 및 필드의 수평 면적이 더욱 감소되고 있다. 그러나, 상기 액티브 영역을 감소시키는 경우, 상기 액티브 영역과 각 패턴들 (예컨대, 게이트 및 콘택)간의 미스 얼라인 마진이 협소해진다. 상기 각 패턴들 간에 미스얼라인이 발생되는 경우, 반도체 장치가 원하는 특성을 갖지 못하거나 반도체 장치의 신뢰성에 문제를 일으키게 된다. 또한 상기 필드 영역을 감소하는 경우, 각 소자들 간의 전기적 분리(isolation)가 정상적으로 이루어지지 않기 때문에 래치 업 등의 불량이 발생할 수 있다. In addition, in order to increase the degree of integration of the semiconductor device, the horizontal area of the active and field is further reduced. However, when the active area is reduced, the misalignment margin between the active area and each pattern (eg, gate and contact) is narrowed. If misalignment occurs between the patterns, the semiconductor device may not have desired characteristics or may cause a problem in the reliability of the semiconductor device. In addition, when the field area is reduced, a defect such as latch-up may occur because electrical isolation between the elements is not normally performed.

상기 문제들의 해결하기 위하여, 기판 상에 형성되는 게이트 길이는 축소시키면서 MOS 트랜지스터의 특성을 향상시키기 위한 방법들이 연구 개발되고 있다. In order to solve the above problems, methods for improving the characteristics of the MOS transistor while reducing the gate length formed on the substrate have been researched and developed.

미합중국 특허 6391720호에는 쇼트 채널 효과 및 핫 케리어 효과를 감소시키기위한 셀프 얼라인드 리세스 채널 모오스 트랜지스터 제조 방법이 개시되어 있다. 개시된 방법에 의하면, 기판을 일부 식각하여 게이트 리세스를 형성한 후 상기 게이트 리세스 내에 게이트 전극을 형성한다. 상기 방법에 의하면, 게이트 리세스의 두께 및 선폭에 따라 게이트 전극 특성이 달라지게 된다. 때문에, 상기 실리콘 기판을 균일하게 식각하지 못하는 경우, 원하는 특성을 갖는 게이트를 형성하기가 어려워진다. 또한, 액티브 영역과 패턴들간의 미스 얼라인 마진 문제는 여전히 남아있다. US patent 6391720 discloses a method for fabricating a self-aligned recess channel MOS transistor to reduce short channel effects and hot carrier effects. According to the disclosed method, the substrate is partially etched to form a gate recess, and then a gate electrode is formed in the gate recess. According to the method, the gate electrode characteristics vary according to the thickness and line width of the gate recess. Therefore, when the silicon substrate is not etched uniformly, it is difficult to form a gate having desired characteristics. In addition, the misalignment margin problem between the active region and the patterns still remains.

따라서, 본 발명의 제1 목적은 채널의 유효 길이를 증가시키고, 액티브와의 오버랩 마진이 증가되는 반도체 장치를 제공하는데 있다.Accordingly, a first object of the present invention is to provide a semiconductor device in which the effective length of the channel is increased and the overlap margin with the active is increased.

본 발명의 제2 목적은 상기 반도체 장치를 제조하는 데 적합한 반도체 장치의 제조 방법을 제공하는데 있다.A second object of the present invention is to provide a method for manufacturing a semiconductor device suitable for manufacturing the semiconductor device.

상기한 제1 목적을 달성하기 위하여 본 발명은, In order to achieve the first object described above, the present invention,

액티브 및 필드 영역이 구분된 반도체 기판을 구비한다. 상기 액티브 영역 상에 형성되고, 게이트 형성 부위에 게이트 트렌치를 갖는 반도체층을 구비한다. 상기 게이트 트렌치 내부를 채우면서 상기 반도체층 상부로 일부 돌출되는 게이트 구조물을 구비한다. 상기 반도체층 표면 아래에 형성된 불순물 영역들을 구비한다. 상기 불순물 영역들에 각각 접촉하고, 적어도 상기 불순물 영역 전체를 덮는 도전 패턴을 포함하는 반도체 장치를 구비한다. A semiconductor substrate is divided into active and field regions. A semiconductor layer is formed on the active region and has a gate trench in a gate formation portion. The gate structure may partially fill the gate trench and protrude upwardly from the semiconductor layer. Impurity regions formed under the surface of the semiconductor layer. A semiconductor device including a conductive pattern in contact with the impurity regions and covering at least the entire impurity region is provided.

상기한 제2 목적을 달성하기 위하여 본 발명은,In order to achieve the above second object, the present invention,

반도체 기판상에 액티브 영역 및 필드 영역을 구분한다. 상기 액티브 영역 상에, 게이트 형성 영역을 선택적으로 노출하는 게이트 트렌치를 갖는 반도체층을 형성한다. 상기 게이트 트렌치를 매립하면서, 상기 반도체층 상부로 돌출되는 게이트 구조물을 형성한다. 상기 반도체층 표면 아래에 불순물 영역을 형성한다. 이어서, 상기 불순물 영역들에 각각 접촉하고, 적어도 상기 불순물 영역 전체를 덮는 도전 패턴을 형성하여 반도체 장치를 제조한다. An active region and a field region are divided on a semiconductor substrate. A semiconductor layer having a gate trench for selectively exposing a gate formation region is formed on the active region. While filling the gate trench, a gate structure protruding above the semiconductor layer is formed. An impurity region is formed under the surface of the semiconductor layer. Subsequently, a semiconductor device is manufactured by contacting the impurity regions and forming a conductive pattern covering at least the entire impurity region.

상기 방법에 의하면, 채널이 게이트의 저면 및 측면 부위에도 형성되므로 MOS트랜지스터의 유효 채널 길이가 증가된다. 때문에, 게이트의 길이는 더욱 감소시킬 수 있다. According to the method, the effective channel length of the MOS transistor is increased because the channel is also formed at the bottom and side portions of the gate. Therefore, the length of the gate can be further reduced.

또한, 상기 게이트 양측의 불순물 영역과 접속하는 도전 패턴은 상기 불순물 영역보다 크게 형성하게 되어, 이 후의 콘택 형성시에 얼라인 마진을 증가시킬 수 있다. 때문에, 상기 기판 상에 형성되는 액티브 영역의 면적을 더욱 축소시킬 수 있다. In addition, the conductive pattern connected to the impurity regions on both sides of the gate may be larger than the impurity region to increase the alignment margin at the time of subsequent contact formation. Therefore, the area of the active region formed on the substrate can be further reduced.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하 고자 한다. Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

실시예 1Example 1

도 1은 본 발명의 제1 실시예에 따른 반도체 장치의 단면도이다. 도 2는 본 발명의 제1 실시예에 따른 반도체 장치의 레이아웃도이다. 1 is a cross-sectional view of a semiconductor device according to a first embodiment of the present invention. 2 is a layout diagram of a semiconductor device according to a first embodiment of the present invention.

도 1 및 도 2를 참조하면, 실리콘(Si), 실리콘 게르마늄(SiGe), 실리콘-온-인슐레이터(SOI) 또는 실리콘 게르마늄-온-인슐레이터(SGOI)로 이루어지는 반도체 기판(100)이 구비된다. 상기 반도체 기판(100)은 또한, 상부에 스트레인드(strained) 에피텍시얼층이 형성된 스트레인드 실리콘 기판일 수도 있다. 본 실시예에서는 벌크 실리콘으로 이루어진 기판(100)에 형성되는 MOS트랜지스터에 한정하여 설명한다. 1 and 2, a semiconductor substrate 100 including silicon (Si), silicon germanium (SiGe), silicon-on-insulator (SOI), or silicon germanium-on-insulator (SGOI) is provided. The semiconductor substrate 100 may also be a strained silicon substrate having a strained epitaxial layer formed thereon. In the present embodiment, only the MOS transistor formed on the substrate 100 made of bulk silicon will be described.

상기 반도체 기판(100)에 액티브 영역(103) 및 필드 영역(102)이 구분되어 있다. 상기 액티브 영역(103)에는 웰 영역 또는 채널 영역을 형성하기 위한 불순물이 주입되어 있다. The active region 103 and the field region 102 are separated from the semiconductor substrate 100. An impurity is implanted into the active region 103 to form a well region or a channel region.

상기 액티브 영역(103) 상에서, 게이트가 형성되어야할 부위에 게이트 트렌치를 갖는 반도체층이 구비된다. 상기 반도체층은 에피택시얼 성장에 의해 형성된 실리콘으로 이루어질 수 있다. 또는, 상기 반도체층은 SiGe, GaAs로 이루어질 수 있다.On the active region 103, a semiconductor layer having a gate trench is provided at a portion where a gate is to be formed. The semiconductor layer may be made of silicon formed by epitaxial growth. Alternatively, the semiconductor layer may be made of SiGe or GaAs.

상기 게이트 트렌치 내부를 채우면서 상기 반도체층 상부로 일부 돌출되는 게이트 구조물이 구비된다. 상기 게이트 구조물은 게이트 절연막 패턴(122a) 및 게이트 전극 패턴(126)을 포함한다. 상기 게이트 절연막 패턴(122a)은 상기 반도체층 과 상기 게이트 전극 패턴(126) 사이 계면에 형성된다. A gate structure partially protruding above the semiconductor layer while filling the gate trench is provided. The gate structure includes a gate insulating layer pattern 122a and a gate electrode pattern 126. The gate insulating layer pattern 122a is formed at an interface between the semiconductor layer and the gate electrode pattern 126.

상기 게이트 전극 패턴(126)은 폴리실리콘으로 이루어지거나, 도핑된 실리콘 및 게르마늄을 포함하는 복합층으로 이루어질 수 있다. 또한, 상기 게이트 전극은 폴리실리콘 패턴과 상기 폴리실리콘 패턴상에 금속 실리사이드 패턴이 형성된 폴리사이드 구조를 가질 수 있다. 상기 게이트 절연막 패턴(122a)은 실리콘 산화막, 고유전율을 갖는 금속 산화막, 또는 SiON계열의 막으로 이루어진다. 상기 고유전율을 갖는 금속 산화막의 예로서는 Ta2O5막, TiO2막, Al2O3 막, Y2O3막, ZrO2막, HfO2막, BaTiO3막, SrTiO3막 또는 이들의 복합막등을 들 수 있다.The gate electrode pattern 126 may be made of polysilicon or may be made of a composite layer including doped silicon and germanium. In addition, the gate electrode may have a polysilicon structure in which a metal silicide pattern is formed on the polysilicon pattern and the polysilicon pattern. The gate insulating layer pattern 122a may be formed of a silicon oxide film, a metal oxide film having a high dielectric constant, or a SiON series film. Examples of the metal oxide film having the high dielectric constant include a Ta 2 O 5 film, a TiO 2 film, an Al 2 O 3 film, a Y 2 O 3 film, a ZrO 2 film, an HfO 2 film, a BaTiO 3 film, a SrTiO 3 film, or a composite thereof. And the like.

상기 게이트 전극 패턴(126)의 돌출부의 양측벽에는 스페이서(128)가 구비된다. 상기 스페이서(128)는 실리콘 질화물 또는 실리콘 산화물로 구성되거나, 실리콘 산화물층 및 상기 실리콘 산화물층을 커버하는 실리콘 질화물층으로 이루어진 복합층으로 이루어질 수 있다.Spacers 128 are provided on both sidewalls of the protrusion of the gate electrode pattern 126. The spacer 128 may be made of silicon nitride or silicon oxide, or may be made of a composite layer made of a silicon oxide layer and a silicon nitride layer covering the silicon oxide layer.

상기 게이트 전극 패턴(126)의 돌출된 상부면 및 상기 스페이서(128) 표면상에 캡핑 패턴(132)이 구비된다. 상기 캡핑 패턴(132)은 실리콘 질화물 또는 실리콘 산화물로 형성할 수 있다. A capping pattern 132 is provided on the protruding upper surface of the gate electrode pattern 126 and the surface of the spacer 128. The capping pattern 132 may be formed of silicon nitride or silicon oxide.

상기 게이트 전극 패턴(126)의 양측의 상기 반도체층에는 소오스 및 드레인으로 제공되는 저농도 불순물 영역(112a) 및 고농도 불순물 영역(130)이 구비된다. 상기 소오스 및 드레인은 LDD 구조를 갖는다.The semiconductor layers on both sides of the gate electrode pattern 126 are provided with a low concentration impurity region 112a and a high concentration impurity region 130 provided as a source and a drain. The source and drain have an LDD structure.

상기 고농도 불순물 영역(130)들에 각각 접촉하고, 적어도 노출된 상기 불순 물 영역 전체를 덮는 도전 패턴(134)을 구비한다. 상기 도전 패턴(134)은 도핑된 폴리실리콘 또는 도핑된 에피택시얼 실리콘으로 형성된다. And a conductive pattern 134 that contacts the high concentration impurity regions 130 and covers at least the entire exposed impurity region. The conductive pattern 134 is formed of doped polysilicon or doped epitaxial silicon.

상기 도전 패턴(134) 표면에, 금속 실리사이드 패턴(136)이 더 형성되어 있다. 상기 도전 패턴(134)은 상기 불순물 영역(112a, 130)과 전기적으로 연결되는 콘택을 형성할 시에 콘택 얼라인 마진을 증가시킨다. 때문에, 기판 상에 형성되는 액티브 영역(103)의 수평 면적을 감소시킬 수 있다.A metal silicide pattern 136 is further formed on the surface of the conductive pattern 134. The conductive pattern 134 increases the contact alignment margin when forming a contact electrically connected to the impurity regions 112a and 130. Therefore, the horizontal area of the active region 103 formed on the substrate can be reduced.

다른 형태로는, 도시하지는 않았으나, 상기 도전 패턴(134)은 전체가 금속 실리사이드로도 형성할 수도 있다. In another embodiment, although not illustrated, the conductive pattern 134 may be entirely formed of metal silicide.

상기 도전 패턴(134) 상에 층간 절연막(138)을 구비하고, 상기 층간 절연막(138)에는 상기 도전 패턴(134)과 접속하는 콘택(140)을 구비한다. An interlayer insulating layer 138 is provided on the conductive pattern 134, and the interlayer insulating layer 138 is provided with a contact 140 that connects to the conductive pattern 134.

본 발명의 MOS 트랜지스터에 있어서는, 상기 게이트 전극의 양측으로 소오스 및 드레인 영역이 형성되어 있고, 상기 게이트 절연막이 상기 게이트 전극의 저면과 측면으로 연장되어 형성되어 있다. 때문에, 상기 트랜지스터의 채널 영역은 상기 게이트 전극의 저면 뿐 아니라 하부 측면까지 연장된다. 즉, 상기 MOS트랜지스터는 상기 게이트 전극의 길이보다 트랜지스터 채널의 길이가 더 길게 형성되므로 반도체 장치를 더욱더 고집적화시킬 수 있다. 또한, 상기 MOS트랜지스터의 채널 길이가 증가되어 쇼트 채널 효과에 의해 발생하는 문제점들을 최소화할 수 있다.In the MOS transistor of the present invention, source and drain regions are formed on both sides of the gate electrode, and the gate insulating film extends to the bottom and side surfaces of the gate electrode. Therefore, the channel region of the transistor extends to the bottom side as well as the bottom surface of the gate electrode. In other words, the MOS transistor has a longer transistor channel length than that of the gate electrode, thereby increasing the integration of the semiconductor device. In addition, the channel length of the MOS transistor is increased to minimize the problems caused by the short channel effect.

또한, 상기 불순물 영역과 접속하는 도전 패턴을 형성함으로서, 불순물 영역과 접속하는 콘택 형성 시의 얼라인 마진이 증가한다. 때문에, 상기 기판 상에 형성되는 액티브 영역의 면적을 더욱 축소시킬 수 있다. In addition, by forming a conductive pattern to be connected to the impurity region, the alignment margin at the time of forming a contact to be connected to the impurity region is increased. Therefore, the area of the active region formed on the substrate can be further reduced.

도 3a 내지 3n은 본 발명의 제1 실시예에 의한 MOS트랜지스터의 제조 방법을 나타내는 단면도들이다. 3A to 3N are cross-sectional views illustrating a method of manufacturing a MOS transistor according to a first embodiment of the present invention.

도 3a를 참조하면, 반도체 기판(100)의 상부에 통상적인 트렌치 소자 분리 공정을 수행하여 액티브 영역(103) 및 필드 영역(102)을 구분한다.Referring to FIG. 3A, a trench isolation process may be performed on the semiconductor substrate 100 to separate the active region 103 and the field region 102.

다음에, 상기 액티브 영역(103)으로 불순물 이온을 주입시켜 MOS 트랜지스터의 웰 영역 및 채널 영역을 형성한다. 상기 MOS 트랜지스터의 타입에 따라 3족 또는 5족의 불순물 이온이 주입된다. Next, impurity ions are implanted into the active region 103 to form a well region and a channel region of the MOS transistor. Group 3 or 5 impurity ions are implanted according to the type of the MOS transistor.

도 3b를 참조하면, 상기 반도체 기판(100)의 액티브 영역(103)상에 버퍼 산화막(105)을 형성한다. 상기 버퍼 산화막(105)은 열산화 방식 또는 CVD방식에 의해 약 100 내지 200Å의 두께로 형성한다. 상기 버퍼 산화막(105)은 후속 공정을 진행하면서 상기 액티브 영역(103) 표면이 손상되는 것을 방지한다. Referring to FIG. 3B, a buffer oxide film 105 is formed on the active region 103 of the semiconductor substrate 100. The buffer oxide film 105 is formed to a thickness of about 100 to about 200 kPa by thermal oxidation or CVD. The buffer oxide layer 105 prevents the surface of the active region 103 from being damaged during the subsequent process.

이어서, 상기 버퍼 산화막(105) 상에 더미 게이트용 질화막(107)을 증착시킨다. 상기 더미 게이트용 질화막(107)은 추후의 CMP공정에서 연마되는 두께를 고려하여 형성하고자하는 게이트 전극 패턴의 높이보다 조금 더 두꺼운 두께로 증착한다. 본 실시예에서 형성하고자 하는 게이트 전극 패턴의 높이가 1500Å인 것을 고려하여 상기 더미 게이트용 질화막(107)은 약 2000Å정도의 두께로 증착한다. Next, a dummy gate nitride film 107 is deposited on the buffer oxide film 105. The dummy gate nitride film 107 is deposited to a thickness thicker than the height of the gate electrode pattern to be formed in consideration of the thickness polished in a later CMP process. Considering that the height of the gate electrode pattern to be formed in this embodiment is 1500 kW, the nitride film 107 for the dummy gate is deposited to a thickness of about 2000 kW.

도 3c를 참조하면, 사진 식각 공정에 의해 상기 더미 게이트용 질화막(107) 및 버퍼 산화막(105)의 소정 부위를 순차적으로 건식 식각하여 상기 버퍼 산화막 패턴(105a) 및 더미 게이트 패턴(107a)이 적층된 형태의 더미 게이트 구조물(108)을 형성한다. 상기 더미 게이트 구조물(108)은 게이트 전극 형성 영역을 정의하기 위해 형성된다. 따라서, 상기 더미 게이트 구조물(108)의 선폭은 형성하고자 하는 게이트 전극 패턴의 선폭과 비슷한 선폭을 갖도록 한다.Referring to FIG. 3C, the buffer oxide layer pattern 105a and the dummy gate pattern 107a are stacked by sequentially dry etching a predetermined portion of the dummy gate nitride layer 107 and the buffer oxide layer 105 by a photolithography process. To form the dummy gate structure 108 of the present invention. The dummy gate structure 108 is formed to define a gate electrode formation region. Accordingly, the line width of the dummy gate structure 108 may have a line width similar to the line width of the gate electrode pattern to be formed.

도 3d를 참조하면, 상기 액티브 영역(103)에 형성된 더미 게이트 구조물(108)을 에피텍시얼 마스크로 사용하고, 표면에 노출된 실리콘을 시드(seed)로하여 실리콘을 선택적으로 에피텍시얼 성장시켜 반도체층(110)을 형성한다. Referring to FIG. 3D, the epitaxial mask is selectively formed by using the dummy gate structure 108 formed in the active region 103 as an epitaxial mask and seeding the silicon exposed on the surface. Growing to form a semiconductor layer (110).

상기 반도체층(110)은 MOS 트랜지스터에서 리세스 채널을 형성하기 위한 층이다. 상기 반도체층(110)은 상기 더미 게이트 구조물(108)의 두께보다 낮은 두께로 형성하는 것이 바람직하다. 구체적으로, 상기 반도체층(110)은 약 100내지 1000Å의 두께로 형성한다. 따라서, 도시된 바와 같이 상기 더미 게이트 구조물(108)은 상기 반도체층(110)으로부터 돌출된 형태를 갖는다.The semiconductor layer 110 is a layer for forming a recess channel in a MOS transistor. The semiconductor layer 110 may be formed to a thickness lower than the thickness of the dummy gate structure 108. Specifically, the semiconductor layer 110 is formed to a thickness of about 100 to 1000Å. Thus, as shown, the dummy gate structure 108 may protrude from the semiconductor layer 110.

상기 더미 게이트 구조물(108)의 측면에 형성되어 있는 상기 반도체층(110)을 따라 MOS트랜지스터의 채널이 형성된다. 그러므로, 설계된 MOS 트랜지스터의 동작 특성에 따라 상기 반도체층(110)의 두께를 다양하게 변경하여 MOS 트랜지스터의 채널 길이를 조정할 수 있다. A channel of the MOS transistor is formed along the semiconductor layer 110 formed on the side of the dummy gate structure 108. Therefore, the channel length of the MOS transistor may be adjusted by variously changing the thickness of the semiconductor layer 110 according to the designed operating characteristics of the MOS transistor.

도 3e를 참조하면, 상기 더미 게이트 구조물(108)을 이온주입 마스크로 사용하여 상기 반도체층(100) 표면 아래로 저농도 불순물 영역을 형성하기 위한 불순물 이온 주입 공정을 수행한다. 주입될 수 있는 불순물로서는 상기 MOS 트랜지스터의 타입에 따라 B, As, 또는 P와 같은 3족 또는 5족의 불순물이 있다. Referring to FIG. 3E, an impurity ion implantation process is performed to form a low concentration impurity region under the surface of the semiconductor layer 100 using the dummy gate structure 108 as an ion implantation mask. Impurities that can be injected include Group 3 or Group 5 impurities such as B, As, or P depending on the type of the MOS transistor.

상기 불순물 이온 주입 공정에 의해 상기 더미 게이트 구조물(108) 양측의 반도체층(110)표면 아래로 소정 두께만큼 저농도 불순물 영역(112)이 형성된다. 상 기 저농도 불순물 영역(112)은 상기 반도체층(100)의 표면으로부터 약 200 내지 500Å의 깊이를 갖도록 형성한다. 바람직하게는, 상기 저농도 불순물 영역(112)의 깊이는 상기 반도체층(100)의 두께보다 작도록 형성한다. 그 결과, 후속 공정에 의해 형성되는 리세스 구조의 트랜지스터는 종래의 플레너 트렌지스터에 비해 상기 반도체층(110)의 두께와 상기 저농도 불순물 영역(112)의 깊이의 차이의 두배 정도의 길이만큼 채널 길이가 연장된다. The impurity ion implantation process forms a low concentration impurity region 112 by a predetermined thickness below the surface of the semiconductor layer 110 on both sides of the dummy gate structure 108. The low concentration impurity region 112 is formed to have a depth of about 200 to about 500 kHz from the surface of the semiconductor layer 100. Preferably, the depth of the low concentration impurity region 112 is formed to be smaller than the thickness of the semiconductor layer 100. As a result, the transistor of the recess structure formed by a subsequent process has a channel length of about twice the difference between the thickness of the semiconductor layer 110 and the depth of the low concentration impurity region 112 compared to the conventional planar transistor. Is extended.

도시하지는 않았지만, 상기 저농도 불순물 영역(112)을 형성한 이 후에 상기 반도체층의 국부적인 영역에 상기 저농도 불순물 영역(112)과 반대의 타입의 불순물을 도핑시키는 할로(halo) 공정을 더 수행할 수 있다. 상기 할로 공정을 수행함으로서 트랜지스터의 문턱 전압을 제어할 수 있다. Although not shown, after the low concentration impurity region 112 is formed, a halo process of doping impurities of a type opposite to that of the low concentration impurity region 112 may be further performed. have. The threshold voltage of the transistor can be controlled by performing the halo process.

도 3f를 참조하면, 상기 더미 게이트 구조물(108)을 제거하여 상기 반도체층(110)에 게이트 트렌치(120)를 형성한다. 구체적으로, 상기 더미 게이트 구조물(108)에 포함된 상기 더미 게이트 패턴(107a)은 인산을 포함하는 식각액을 사용하여 습식 식각한다. 이어서, 상기 더미 게이트 패턴(107a) 아래에 구비되는 버퍼 산화막 패턴(105a)을 습식 식각하거나 또는 건식 식각에 의해 제거한다. Referring to FIG. 3F, the dummy gate structure 108 is removed to form the gate trench 120 in the semiconductor layer 110. Specifically, the dummy gate pattern 107a included in the dummy gate structure 108 is wet etched using an etchant containing phosphoric acid. Subsequently, the buffer oxide layer pattern 105a provided under the dummy gate pattern 107a is removed by wet etching or dry etching.

도 3g를 참조하면, 상기 게이트 트렌치(120)의 표면에 30 내지 200Å의 얇은 두께로 게이트 절연막(122)을 형성한다. 이 때, 상기 게이트 절연막(122)은 상기 게이트 트렌치(120)를 포함하는 기판을 산소 분위기에서 열처리하여, 표면에 노출되는 실리콘과 산소를 반응시켜 형성한다. 구체적으로, 상기 게이트 절연막(122)은 상기 게이트 트렌치(120) 내측면, 상기 게이트 트렌치(120)에 의해 노출된 기판의 액티브 영역 및 상기 반도체층(110) 상부 표면에 형성한다.Referring to FIG. 3G, the gate insulating layer 122 is formed on the surface of the gate trench 120 to have a thin thickness of 30 to 200 μm. In this case, the gate insulating layer 122 is formed by heat-treating a substrate including the gate trench 120 in an oxygen atmosphere to react silicon exposed to a surface with oxygen. In detail, the gate insulating layer 122 is formed on an inner surface of the gate trench 120, an active region of the substrate exposed by the gate trench 120, and an upper surface of the semiconductor layer 110.

이어서, 상기 게이트 절연막(122)이 형성되어 있는 상기 게이트 트렌치(120)를 완전히 매립되도록 게이트 형성용 도전층(124)을 두껍게 형성한다. 상기 게이트 형성용 도전층(124)은 폴리실리콘층, 폴리실리콘층 및 금속 실리사이드가 적층된 층 또는 금속층으로 형성할 수 있다. 본 실시예에서는, 상기 게이트 형성용 도전층(124)은 도핑된 폴리실리콘층으로 형성한다. Subsequently, the gate forming conductive layer 124 is formed thick so as to completely fill the gate trench 120 in which the gate insulating layer 122 is formed. The gate forming conductive layer 124 may be formed of a layer or a metal layer in which a polysilicon layer, a polysilicon layer, and a metal silicide are stacked. In the present embodiment, the gate forming conductive layer 124 is formed of a doped polysilicon layer.

도 3h를 참조하면, 상기 게이트 형성용 도전층(124)을 패터닝하여 게이트 전극 패턴(126)을 형성한다. 상기 게이트 전극 패턴(126)은 상기 반도체층(110)에 형성되어 있는 게이트 트렌치(120) 내부를 채우면서 상기 반도체층(110)으로부터 돌출된 형상을 갖는다. Referring to FIG. 3H, the gate forming conductive layer 124 is patterned to form a gate electrode pattern 126. The gate electrode pattern 126 has a shape protruding from the semiconductor layer 110 while filling the inside of the gate trench 120 formed in the semiconductor layer 110.

도 3i를 참조하면, 상기 게이트 전극 패턴(126) 및 반도체층(110) 상에 실리콘 질화막을 약 100 내지 700Å정도의 두께로 형성한다. 이어서, 상기 실리콘 질화막을 이방성 식각하여 상기 게이트 전극 패턴(126)의 돌출부의 측벽에 스페이서(128)를 형성한다. Referring to FIG. 3I, a silicon nitride film is formed on the gate electrode pattern 126 and the semiconductor layer 110 to a thickness of about 100 to 700 GPa. Subsequently, the silicon nitride layer is anisotropically etched to form spacers 128 on sidewalls of the protrusions of the gate electrode pattern 126.

상기 스페이서(128)는 후속 공정을 통해 MOS트랜지스터의 LDD 구조의 소오스 및 드레인의 저농도 불순물 도핑 영역(이하, LDD영역)을 한정한다. 즉, 상기 스페이서(128) 저면부의 수평 방향의 두께는 LDD 영역이 형성되는 폭을 정한다. The spacer 128 defines a low concentration impurity doping region (hereinafter referred to as LDD region) of the source and the drain of the LDD structure of the MOS transistor through a subsequent process. That is, the thickness in the horizontal direction of the bottom portion of the spacer 128 determines the width of the LDD region.

본 실시예에서는 실리콘 질화막으로 이루어진 스페이서(128)를 도시하였지만, 실리콘 질화막 대신에 실리콘 산화막과 실리콘 질화막의 복합층 형태로 적층한 후 이방성 식각하여 복합층 상태의 스페이서(128)를 형성하여, MOS 트랜지스터의 드레인 또는 소오스와 연결되는 콘택과 상기 게이트 전극간에 생기는 기생 케패시턴스를 감소시킬 수 있다.In the present embodiment, the spacer 128 made of a silicon nitride film is illustrated, but instead of the silicon nitride film, the spacer 128 is stacked in the form of a composite layer of a silicon oxide film and a silicon nitride film. The parasitic capacitance generated between the contact and the gate electrode connected to the drain or the source can be reduced.

도 3j을 참조하면, 상기 스페이서(128)를 구비하는 게이트 전극 패턴(126)을 이온 주입 마스크로하여 상기 반도체층(110) 표면 아래로 고농도로 불순물 이온을 주입하여 상기 반도체층(110)에 고농도 불순물 영역(이하, HDD영역, 130)을 형성한다. Referring to FIG. 3J, a high concentration of impurity ions are implanted under the surface of the semiconductor layer 110 by using the gate electrode pattern 126 including the spacer 128 as an ion implantation mask, and thus high concentration in the semiconductor layer 110. Impurity regions (hereinafter referred to as HDD regions) 130 are formed.

이어서, 상기 불순물 이온을 주입한 이 후에 상기 기판을 열처리하여 상기 불순물 이온들을 활성화시킨다. Subsequently, after implanting the impurity ions, the substrate is heat-treated to activate the impurity ions.

상기 불순물은 상기 스페이서(128)에 의해 노출된 부위의 반도체층(110)의 표면 아래로 주입되고, 상기 스페이서(128)에 의해 가려진 반도체층(110)의 저면 아래 부분에는 주입되지 못한다. 따라서, 상기 저농도 불순물 영역(112a, 이하, LDD영역)은 스페이서(128)의 저면 아래에 해당되는 영역으로 한정된다. 상기 LDD영역(112a)은 상기 스페이서(128) 저면의 수평 방향 두께에 의해 결정된다.The impurity is injected below the surface of the semiconductor layer 110 at the portion exposed by the spacer 128, and is not injected into the lower portion of the bottom surface of the semiconductor layer 110 covered by the spacer 128. Therefore, the low concentration impurity region 112a (hereinafter, referred to as LDD region) is limited to a region corresponding to the bottom surface of the spacer 128. The LDD region 112a is determined by the horizontal thickness of the bottom surface of the spacer 128.

도 3k를 참조하면, 상기 반도체층, 스페이서 및 게이트 전극의 표면상에 캡핑용 절연막을 형성한다. 상기 캡핑용 절연막은 실리콘 질화물 또는 실리콘 산화물로 형성할 수 있다. Referring to FIG. 3K, an insulating capping layer is formed on surfaces of the semiconductor layer, the spacer, and the gate electrode. The capping insulating layer may be formed of silicon nitride or silicon oxide.

이어서, 적어도 게이트 전극 패턴(126)의 상부면을 커버하면서, 상기 고농도 불순물 영역(130)은 노출시키도록 상기 캡핑용 절연막을 패터닝하여 캡핑 패턴(132)을 형성한다. 바람직하게는, 상기 스페이서 및 게이트 전극 상부면에만 선택적으로 캡핑용 절연막이 남아있도록 패터닝하여 캡핑 패턴(132)을 형성한다. 만일, 상기 게이트 전극 패턴(126) 상부면만을 커버하도록 패터닝하는 경우, 패턴 사이즈가 매우 작아져 패터닝 공정이 용이하지 않기 때문이다.Subsequently, the capping insulating layer is patterned to expose the high concentration impurity region 130 while covering at least an upper surface of the gate electrode pattern 126 to form a capping pattern 132. Preferably, the capping pattern 132 is formed by patterning the insulating film for capping to remain only on the upper surface of the spacer and the gate electrode. If the patterning is performed so as to cover only the upper surface of the gate electrode pattern 126, the pattern size is very small and the patterning process is not easy.

상기 캡핑 패턴(132)을 형성할 시에, 상기 노출된 반도체층(110) 상에 형성되어 있는 게이트 절연막(122)을 제거하여 게이트 절연막 패턴(122a)을 형성한다. When the capping pattern 132 is formed, the gate insulating layer 122 formed on the exposed semiconductor layer 110 is removed to form the gate insulating layer pattern 122a.

도 3l을 참조하면, 상기 반도체층(110) 및 캡핑 패턴(132)의 표면상에 예비 도전막을 형성한다. 상기 예비 도전막은 폴리실리콘 또는 에피택시얼 성장으로 형성되는 실리콘으로 형성할 수 있다. Referring to FIG. 3L, a preliminary conductive layer is formed on the surfaces of the semiconductor layer 110 and the capping pattern 132. The preliminary conductive layer may be formed of silicon formed by polysilicon or epitaxial growth.

이어서, 상기 예비 도전막을 패터닝하여 상기 게이트 전극 패턴(126)의 제1측에 형성된 불순물 영역과 접촉하는 제1 예비 도전 패턴 및 상기 제1측에 대향하는 제2측에 형성된 불순물 영역과 접촉하는 제2 예비 도전 패턴을 각각 형성한다. 상기 제1 및 제2 예비 도전 패턴은 필드 영역 부근으로 확장된 형태를 갖도록 한다. 이 때, 상기 제1 및 제2 도전 패턴은 서로 접촉되지 않도록 한다. Subsequently, the preliminary conductive layer is patterned to contact the impurity region formed on the first side of the gate electrode pattern 126 and the impurity region formed on the second side opposite to the first side. 2 preliminary conductive patterns are formed, respectively. The first and second preliminary conductive patterns may have an extended shape near the field region. In this case, the first and second conductive patterns may not be in contact with each other.

상기 예비 도전막을 에피택시얼 실리콘으로 형성하는 경우에는 노출된 반도체층 즉, 노출된 불순물 영역의 표면상에만 선택적으로 실리콘이 성장되므로 별도의 패터닝 공정을 수행하지 않아도 된다. 그러나, 이 경우, 실리콘 과다 성장에 의해 필드 영역에서 예비 도전 패턴들 간이 접촉하지 않도록 공정 조건을 맞추어야 한다. When the preliminary conductive layer is formed of epitaxial silicon, silicon is selectively grown only on the exposed semiconductor layer, that is, on the surface of the exposed impurity region, so that a separate patterning process may not be performed. In this case, however, the process conditions must be adjusted so that the preliminary conductive patterns do not contact each other in the field region due to over-silicon growth.

이어서, 상기 제1 및 제2 예비 도전 패턴에 불순물 이온을 주입하여 도전 패턴(134)들을 형성한다. Subsequently, impurity ions are implanted into the first and second preliminary conductive patterns to form conductive patterns 134.

상기 도전 패턴(134)은 상기 캡핑 패턴(132) 상에 형성되므로, 상기 도전 패 턴(134)과 게이트 전극 패턴(126)이 서로 쇼트되지 않는다. Since the conductive pattern 134 is formed on the capping pattern 132, the conductive pattern 134 and the gate electrode pattern 126 are not shorted to each other.

도 3m을 참조하면, 상기 각 도전 패턴(134) 상에 금속 실리사이드 패턴(136)을 형성한다. 상기 금속 실리사이드 패턴(136)은 코발트 실리사이드, 텅스텐 실리사이드 또는 티타늄 실리사이드 패턴을 포함한다. 상기 금속 실리사이드 패턴을 형성하는 경우, 소오스/드레인으로 제공되는 불순물 영역과의 접촉 저항을 감소시킬 수 있다. Referring to FIG. 3M, metal silicide patterns 136 are formed on the conductive patterns 134. The metal silicide pattern 136 includes a cobalt silicide, a tungsten silicide, or a titanium silicide pattern. When forming the metal silicide pattern, contact resistance with an impurity region provided as a source / drain may be reduced.

도시하지는 않았으나, 상기 금속 실리사이드 형성 공정 시에 상기 도전 패턴 전체가 금속 실리사이드 패턴으로 형성되도록 할 수도 있다. 이 경우, 상기 도전 패턴 상에 금속 실리사이드 패턴이 형성되는 구조가 아니라, 상기 도전 패턴 자체가 금속 실리사이드 패턴으로 전환된다. Although not shown, the entire conductive pattern may be formed as a metal silicide pattern during the metal silicide forming process. In this case, the conductive pattern itself is converted into the metal silicide pattern, not the structure in which the metal silicide pattern is formed on the conductive pattern.

또는, 공정상의 편의를 위해 상기 금속 실리사이드 패턴(136) 형성 공정을 생략할 수도 있다. Alternatively, the metal silicide pattern 136 forming process may be omitted for the convenience of the process.

도 3n을 참조하면, 층간 절연막(138) 형성 공정 및 상기 도전 패턴(134)과 접속하는 콘택(140) 형성 공정과 같은 후속 공정들을 진행하여 반도체 장치를 완성한다.Referring to FIG. 3N, subsequent processes such as an interlayer insulating layer 138 forming process and a contact 140 forming process for connecting the conductive pattern 134 may be performed to complete a semiconductor device.

본 실시예에 의하면, MOS 트랜지스터는 채널 영역이 상기 게이트 전극의 저면 뿐 아니라 상기 게이트 전극 하부의 양측면까지 연장된다. 즉, 상기 MOS 트랜지스터의 채널 길이는 상기 게이트의 폭보다 더 길게 형성된다. 따라서 상기 게이트 전극의 임계치수를 감소시키면서도 쇼트 채널 효과에 의한 불량 발생은 최소화할 수 있다. 그리고, 상기 MOS 트랜지스터에서 상기 게이트 전극 형성 영역을 정의하 기 위해 상기 반도체 기판을 건식 식각하지 않으므로, 상기 건식 식각에 의해 발생하는 기판 손상 등을 최소화할 수 있다. 또한, 상기 소오스/드레인과 접속하기 위한 콘택 형성 시의 마진이 증가되므로, 기판 상에 형성되는 액티브 영역의 수평 면적을 더욱 감소시킬 수 있다. According to the present embodiment, in the MOS transistor, the channel region extends not only on the bottom of the gate electrode but also on both sides of the bottom of the gate electrode. That is, the channel length of the MOS transistor is formed longer than the width of the gate. Therefore, it is possible to minimize the occurrence of defects due to the short channel effect while reducing the threshold of the gate electrode. In addition, since the semiconductor substrate is not dry etched to define the gate electrode formation region in the MOS transistor, substrate damage caused by the dry etching may be minimized. In addition, since the margin at the time of contact formation for connecting to the source / drain is increased, the horizontal area of the active region formed on the substrate can be further reduced.

실시예 2Example 2

도 4는 본 발명의 제2 실시예에 따른 반도체 장치의 레이아웃도이다. 도 5는 본 발명의 제2 실시예에 따른 반도체 장치의 단면도이다.4 is a layout diagram of a semiconductor device according to a second embodiment of the present invention. 5 is a cross-sectional view of a semiconductor device according to a second exemplary embodiment of the present invention.

도 4 및 도 5에 도시된 것과 같이, 본 실시예에 따른 반도체 장치는 도전 패턴(150)이 게이트 전극 패턴(126) 양측에 형성되는 독립된 액티브 영역을 서로 전기적으로 연결하도록 구성된 것을 제외하고는 실시예1의 구조와 동일하다. As shown in FIGS. 4 and 5, the semiconductor device according to the present embodiment is implemented except that the conductive pattern 150 is configured to electrically connect independent active regions formed on both sides of the gate electrode pattern 126 to each other. Same as the structure of Example 1.

실시예 2는 독립된 각각의 액티브 영역을 서로 연결하는 구조를 갖는 반도체 장치에 한정하여 적용할 수 있다. 실시예2의 장치에 의하면, 도전 패턴은 기판 상에 정의된 액티브 영역(103)에 비해 수평 방향으로 확장된 형태를 가질 수 있다. 따라서, 기판에 형성되는 액티브 영역(103)의 면적을 더욱 축소시킬 수 있어 반도체 장치를 더욱 고집적화할 수 있다. Embodiment 2 can be applied to a semiconductor device having a structure in which respective independent active regions are connected to each other. According to the apparatus of Embodiment 2, the conductive pattern may have a form extended in the horizontal direction compared to the active region 103 defined on the substrate. Therefore, the area of the active region 103 formed on the substrate can be further reduced, whereby the semiconductor device can be further integrated.

상기 제2 실시예에 따른 반도체 장치의 제조 방법을 간단히 설명한다. A manufacturing method of the semiconductor device according to the second embodiment will be briefly described.

우선, 도 3a 내지 3k를 참조로 설명한 것과 동일한 공정을 수행한다. First, the same process as described with reference to FIGS. 3A to 3K is performed.

이어서, 상기 반도체층(110) 및 캡핑 패턴(134)의 표면상에 예비 도전막을 형성한다. 상기 예비 도전막은 폴리실리콘 또는 에피택시얼 성장으로 형성되는 실리콘으로 형성할 수 있다. Subsequently, a preliminary conductive layer is formed on the surfaces of the semiconductor layer 110 and the capping pattern 134. The preliminary conductive layer may be formed of silicon formed by polysilicon or epitaxial growth.

이어서, 상기 예비 도전막을 패터닝하여 상기 게이트 전극 패턴(126)의 양측에 형성되어 있는 고농도 불순물 영역(130)들과 접촉하면서, 상기 노출된 고농도 불순물 영역(130)들을 서로 전기적으로 도통시키기 위한 예비 도전 패턴을 각각 형성한다. 이 때, 상기 예비 도전 패턴은 이웃하는 트렌지스터의 불순물 영역과는 서로 전기적으로 절연되도록 형성한다. Subsequently, the preliminary conductive pattern for patterning the preliminary conductive layer to contact the high concentration impurity regions 130 formed on both sides of the gate electrode pattern 126 to electrically conduct the exposed high concentration impurity regions 130 to each other. Each pattern is formed. In this case, the preliminary conductive pattern is formed to be electrically insulated from the impurity regions of neighboring transistors.

제2 실시예의 경우, 예비 도전막을 폴리실리콘으로 형성하는 것이 더욱 바람직하다. 만일, 상기 예비 도전막을 에피택시얼 실리콘으로 형성하는 경우에는, 상기 게이트 구조물 양측의 불순물 영역로부터 상기 캡핑 패턴(132)이 형성되어 있는 게이트 전극 패턴(126)상으로 각각 실리콘이 성장되어, 상기 성장된 실리콘막이 게이트 전극 상에서 서로 연결되어야 한다. 그러나, 실리콘 성장 속도가 느리므로 공정 진행에 어려움이 있다. In the case of the second embodiment, it is more preferable to form the preliminary conductive film with polysilicon. When the preliminary conductive layer is formed of epitaxial silicon, silicon is grown from the impurity regions on both sides of the gate structure onto the gate electrode pattern 126 on which the capping pattern 132 is formed. The silicon films must be connected to each other on the gate electrode. However, due to the slow silicon growth rate, it is difficult to progress the process.

이어서, 상기 예비 도전 패턴에 불순물이온을 주입하여 도전 패턴(150)을 형성한다. Subsequently, impurity ions are implanted into the preliminary conductive pattern to form a conductive pattern 150.

이어서, 상기 각 도전 패턴(150) 상에 선택적으로 금속 실리사이드 패턴(152)을 형성한다. Subsequently, metal silicide patterns 152 are selectively formed on the conductive patterns 150.

상기와 같이 공정을 수행하면, 기판 상의 액티브 영역을 서로 연결시키기 위한 콘택 형성 공정 등을 생략할 수 있어 공정이 매우 간단해진다. 또한, 기판 상에 형성되는 액티브 영역의 면적을 더욱 축소시킬 수 있어 반도체 장치를 더욱 고집적화할 수 있다. When the process is performed as described above, a contact forming process for connecting the active regions on the substrate to each other can be omitted, and the process is very simple. In addition, the area of the active region formed on the substrate can be further reduced, whereby the semiconductor device can be further integrated.

실시예 3Example 3

도 6은 본 발명의 제3 실시예에 따른 반도체 장치의 단면도이다.6 is a cross-sectional view of a semiconductor device according to a third exemplary embodiment of the present invention.

도 6에 도시된 것과 같이, 본 실시예에 따른 반도체 장치는 고농도 불순물 영역 및 게이트 전극 상부면에 선택적으로 금속 실리사이드 패턴이 형성된 것을 제외하고는 상기 제1 실시예와 동일하다. 상기 고농도 불순물 영역 표면에 금속 실리사이드 패턴을 형성함으로서 소오스/드레인 저항을 감소시킬 수 있다. As shown in FIG. 6, the semiconductor device according to the present exemplary embodiment is the same as the first exemplary embodiment except that the metal silicide pattern is selectively formed on the high concentration impurity region and the gate electrode upper surface. The source / drain resistance may be reduced by forming a metal silicide pattern on the surface of the high concentration impurity region.

상기 제3 실시예에 따른 반도체 장치의 제조 방법을 간단히 설명한다. A manufacturing method of the semiconductor device according to the third embodiment will be briefly described.

우선, 도 3a 내지 3j를 참조로 설명한 것과 동일한 공정을 수행한다. First, the same process as described with reference to FIGS. 3A to 3J is performed.

이어서, 상기 노출된 반도체층(110)및 게이트 전극 패턴(126)상에 각각 선택적으로 금속 실리사이드 패턴(160)을 형성한다. 상기 금속 실리사이드 패턴(160)은 코발트 실리사이드 패턴, 텅스텐 실리사이드 패턴 또는 티타늄 실리사이드 패턴을 포함한다. Subsequently, metal silicide patterns 160 are selectively formed on the exposed semiconductor layer 110 and the gate electrode pattern 126, respectively. The metal silicide pattern 160 may include a cobalt silicide pattern, a tungsten silicide pattern, or a titanium silicide pattern.

이 후, 3k 내지 도 3n 공정을 동일하게 수행하여 반도체 장치를 완성한다.Thereafter, the processes of 3k to 3n are performed in the same manner to complete the semiconductor device.

상술한 바와 같이 본 발명에 의하면, 게이트 전극의 측면 및 저면 아래의 반도체층을 따라 MOS트랜지스터의 채널이 형성된다. 따라서, 게이트 전극의 길이 보다 더 긴 리세스 채널이 형성되어 쇼트 채널 효과를 최소화할 수 있다. 또한, 소오스/드레인 콘택 형성 시의 마진이 충분히 확보될 수 있어, 액티브 영역의 수평 면적을 더욱 축소시킬 수 있다. As described above, according to the present invention, a channel of the MOS transistor is formed along the semiconductor layer under the side and bottom of the gate electrode. Thus, a recess channel longer than the length of the gate electrode can be formed to minimize the short channel effect. In addition, the margin at the time of source / drain contact formation can be sufficiently secured, thereby further reducing the horizontal area of the active region.

상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술 분야의 숙련된 당업자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다. As described above, although described with reference to a preferred embodiment of the present invention, those skilled in the art will be variously modified without departing from the spirit and scope of the invention described in the claims below. And can be changed.

Claims (15)

액티브 및 필드 영역이 구분된 반도체 기판;A semiconductor substrate divided into active and field regions; 상기 액티브 영역 상에 형성되고, 게이트가 형성되어야 할 부위에 위치하고 저면에 상기 기판을 노출하는 게이트 트렌치를 갖는 반도체층; A semiconductor layer formed on the active region and having a gate trench positioned at a portion where a gate is to be formed and exposing the substrate on a bottom surface thereof; 상기 게이트 트렌치 내부를 채우면서 상기 반도체층 상부로 일부 돌출되는 게이트 구조물; A gate structure partially protruding above the semiconductor layer while filling the gate trench; 상기 게이트 구조물에서 상기 반도체층 상부로 돌출된 부위의 측벽에 구비되는 스페이서; Spacers disposed on sidewalls of the gate structure protruding from the gate structure; 상기 게이트 구조물의 상부면 및 상기 스페이서 표면에 형성된 캡핑용 절연 패턴; An insulating pattern for capping formed on an upper surface of the gate structure and a surface of the spacer; 상기 게이트 구조물 양측의 반도체층 표면 아래에 형성된 불순물 영역들; 및Impurity regions formed under a surface of the semiconductor layer on both sides of the gate structure; And 상기 불순물 영역들과 접속하고, 적어도 상기 불순물 영역 전체를 덮는 도전 패턴을 포함하는 반도체 장치.And a conductive pattern connected to the impurity regions and covering at least the entire impurity region. 삭제delete 제1항에 있어서, 상기 도전 패턴은 상기 게이트 구조물의 제1측에 형성되는 불순물 영역과 접속하는 제1 패턴 및 상기 제1측과 대향하는 제2측에 형성되는 불순물 영역과 접속하는 제2 패턴으로 이루어지는 것을 특징으로 하는 반도체 장치. The semiconductor device of claim 1, wherein the conductive pattern comprises a first pattern connected to an impurity region formed on a first side of the gate structure and a second pattern connected to an impurity region formed on a second side opposite to the first side. The semiconductor device characterized by consisting of. 제1항에 있어서, 상기 도전 패턴은 상기 기판에 형성된 독립된 액티브 영역을 서로 전기적으로 연결시키는 것을 특징으로 하는 반도체 장치.The semiconductor device of claim 1, wherein the conductive pattern electrically connects independent active regions formed on the substrate. 제1항에 있어서, 상기 도전 패턴은 폴리실리콘 또는 도핑된 에피텍시얼 실리콘으로 이루어지는 것을 특징으로 하는 반도체 장치.The semiconductor device of claim 1, wherein the conductive pattern is made of polysilicon or doped epitaxial silicon. 제5항에 있어서, 상기 도전 패턴의 상부면에 금속 실리사이드 패턴을 더 포함하는 것을 특징으로 하는 반도체 장치. The semiconductor device of claim 5, further comprising a metal silicide pattern on an upper surface of the conductive pattern. 제1항에 있어서, 상기 불순물 영역 및 게이트 구조물 상부면에 금속 실리사이드 패턴을 더 포함하는 것을 특징으로 하는 반도체 장치. The semiconductor device of claim 1, further comprising a metal silicide pattern on an upper surface of the impurity region and the gate structure. 반도체 기판상에 액티브 영역 및 필드 영역을 구분하는 단계;Separating an active region and a field region on a semiconductor substrate; 상기 액티브 영역 상에, 게이트 형성을 위한 기판 부위를 선택적으로 노출하는 게이트 트렌치를 갖는 반도체층을 형성하는 단계; Forming a semiconductor layer on the active region, the semiconductor layer having a gate trench for selectively exposing a substrate portion for forming a gate; 상기 게이트 트렌치를 매립하면서, 상기 반도체층 상부로 돌출되는 게이트 구조물을 형성하는 단계;Filling the gate trench, forming a gate structure protruding above the semiconductor layer; 상기 게이트 구조물에서 상기 반도체층 상부로 돌출된 부위의 측벽에 스페이서를 형성하는 단계; Forming spacers on sidewalls of the gate structure protruding from the gate structure; 상기 게이트 구조물의 상부면 및 상기 스페이서 표면에 형성된 캡핑용 절연 패턴을 형성하는 단계;Forming an insulating pattern for capping formed on an upper surface of the gate structure and a surface of the spacer; 상기 반도체층 표면 아래에 불순물 영역을 형성하는 단계; 및Forming an impurity region under a surface of the semiconductor layer; And 상기 불순물 영역들에 접촉하고, 적어도 상기 불순물 영역 전체를 덮는 도전 패턴을 형성하는 단계를 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법. Forming a conductive pattern in contact with the impurity regions and covering at least the entire impurity region. 제8항에 있어서, 상기 게이트 트렌치를 갖는 반도체층은, The semiconductor layer of claim 8, wherein the semiconductor layer having the gate trench comprises: 상기 액티브 영역에서 게이트가 형성될 부위에 더미 게이트 패턴을 형성하는 단계; Forming a dummy gate pattern on a portion where a gate is to be formed in the active region; 상기 더미 게이트 패턴을 에피텍시얼 마스크로 사용하여, 상기 액티브 영역 상에 에피택시얼 방법으로 실리콘막을 형성하는 단계; 및Using the dummy gate pattern as an epitaxial mask to form a silicon film on the active region by an epitaxial method; And 상기 더미 게이트 패턴을 제거하는 단계를 수행하는 것을 특징으로 하는 반도체 장치의 제조 방법.And removing the dummy gate pattern. 삭제delete 삭제delete 제8항에 있어서, 상기 캡핑용 절연 패턴을 형성하기 이 전에, 상기 불순물 영역 및 게이트 전극 상부면에 선택적으로 금속 실리사이드 패턴을 더 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법. The method of claim 8, wherein a metal silicide pattern is further formed on the impurity region and the upper surface of the gate electrode prior to forming the capping insulating pattern. 제8항에 있어서, 상기 도전 패턴은, The method of claim 8, wherein the conductive pattern, 상기 기판 표면에 폴리실리콘 또는 에피택시얼 실리콘으로 예비 도전막을 형 성하는 단계;Forming a preliminary conductive film of polysilicon or epitaxial silicon on the substrate surface; 상기 예비 도전막을 패터닝하여 상기 게이트 구조물의 제1 측에 형성된 불순물 영역과 접촉하는 제1 예비 도전 패턴 및 상기 제1측에 대향하는 제2측에 형성된 불순물 영역과 접촉하는 제2 예비 도전 패턴을 각각 형성하는 단계; 및Patterning the preliminary conductive layer to form a first preliminary conductive pattern contacting the impurity region formed on the first side of the gate structure and a second preliminary conductive pattern contacting the impurity region formed on the second side opposite to the first side, respectively. Forming; And 상기 제1 및 제2 예비 도전 패턴에 불순물이온을 주입하여 도전 패턴을 형성하는 단계를 수행하여 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법. And forming a conductive pattern by implanting impurity ions into the first and second preliminary conductive patterns. 제8항에 있어서, 상기 도전 패턴은, The method of claim 8, wherein the conductive pattern, 상기 기판 표면에 폴리실리콘 또는 에피택시얼 실리콘으로 예비 도전막을 형성하는 단계;Forming a preliminary conductive layer of polysilicon or epitaxial silicon on the substrate surface; 상기 예비 도전막을 패터닝하여 상기 기판 상의 독립된 액티브 영역을 서로 연결시키는 예비 도전 패턴을 형성하는 단계; 및Patterning the preliminary conductive layer to form a preliminary conductive pattern connecting the independent active regions on the substrate to each other; And 상기 예비 도전 패턴에 불순물이온을 주입하여 도전 패턴을 형성하는 단계를 수행하여 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법. And implanting impurity ions into the preliminary conductive pattern to form a conductive pattern. 제8항에 있어서, 상기 도전 패턴 표면상에 금속 실리사이드 패턴을 더 형성하는 것을 특징으로 하는 반도체 장치의 제조 방법. The method of manufacturing a semiconductor device according to claim 8, further comprising forming a metal silicide pattern on the conductive pattern surface.
KR1020040006823A 2004-02-03 2004-02-03 Semiconductor device and method of manufacturing the same KR100569708B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020040006823A KR100569708B1 (en) 2004-02-03 2004-02-03 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040006823A KR100569708B1 (en) 2004-02-03 2004-02-03 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
KR20050078793A KR20050078793A (en) 2005-08-08
KR100569708B1 true KR100569708B1 (en) 2006-04-10

Family

ID=37265864

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040006823A KR100569708B1 (en) 2004-02-03 2004-02-03 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
KR (1) KR100569708B1 (en)

Also Published As

Publication number Publication date
KR20050078793A (en) 2005-08-08

Similar Documents

Publication Publication Date Title
KR100499159B1 (en) Semiconductor device having a recessed channel and method of manufacturing the same
JP3575596B2 (en) Method for fabricating double gate integrated circuit and method for fabricating double gate metal oxide semiconductor transistor
US8106456B2 (en) SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
JP3870323B2 (en) Method for manufacturing vertical transistor
US7704808B2 (en) Methods of forming semiconductor-on-insulating (SOI) field effect transistors with body contacts
KR0176202B1 (en) Soi transistor and its fabrication method
KR100639679B1 (en) method of fabricating transistor including buried insulating layer and transistor fabricated thereby
JP2004128508A (en) Mos transistor including multi-channel and its manufacturing method
US10014406B2 (en) Semiconductor device and method of forming the same
KR100719152B1 (en) Field effect transistor and method for the production thereof
KR20050110081A (en) Semiconductor device comprising finfet and fabricating method thereof
JP2003168802A (en) Semiconductor device and manufacturing method therefor
KR100414735B1 (en) A semiconductor device and A method for forming the same
WO2023108789A1 (en) Semiconductor device and manufacturing method therefor
JPH0389555A (en) Semiconductor device and manufacture thereof
KR100569708B1 (en) Semiconductor device and method of manufacturing the same
JP4434832B2 (en) Semiconductor device and manufacturing method thereof
JP2006202950A (en) Mos-type field effect transistor and manufacturing method thereof
US6720224B2 (en) Method for forming transistor of semiconductor device
US20020047141A1 (en) Semiconductor device, and manufacture thereof
JP3805917B2 (en) Manufacturing method of semiconductor device
JP2001015751A (en) Field effect transistor
JPH098308A (en) Transistor of semiconductor element and its manufacture
JP4942951B2 (en) MOS type transistor manufacturing method and MOS type transistor
KR100365416B1 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100315

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee