JP3636643B2 - 半導体集積回路の信号遅延時間計算方法及び記憶媒体 - Google Patents

半導体集積回路の信号遅延時間計算方法及び記憶媒体 Download PDF

Info

Publication number
JP3636643B2
JP3636643B2 JP2000199010A JP2000199010A JP3636643B2 JP 3636643 B2 JP3636643 B2 JP 3636643B2 JP 2000199010 A JP2000199010 A JP 2000199010A JP 2000199010 A JP2000199010 A JP 2000199010A JP 3636643 B2 JP3636643 B2 JP 3636643B2
Authority
JP
Japan
Prior art keywords
signal
delay time
integrated circuit
semiconductor integrated
slope
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000199010A
Other languages
English (en)
Other versions
JP2002016146A (ja
Inventor
敏行 坂本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2000199010A priority Critical patent/JP3636643B2/ja
Priority to US09/895,339 priority patent/US6519748B2/en
Publication of JP2002016146A publication Critical patent/JP2002016146A/ja
Application granted granted Critical
Publication of JP3636643B2 publication Critical patent/JP3636643B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、デジタル回路の遅延計算の方法に関し、特にレイアウト後の実配線のデータを使用した回路の遅延時間を計算する方法、及びこの方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体に関する。
【0002】
【従来の技術】
近年、集積回路の微細化が進みサブミクロンのテクノロジを使用するようになってきており、信号の遅延は、LSIの設計上非常に気をつける必要のある要素となっている。
【0003】
信号の遅延は通常、機能要素となるセルのセル遅延と配線遅延に分けて考慮される。セル遅延は、セルのそれ自体のもつ遅延であり、そのセルの状態、入力信号の鈍り(傾きと呼ぶ場合もある)、駆動する配線の負荷容量を利用して計算される。配線遅延は、全体の遅延のうちセル遅延を除いた部分であり、配線に抵抗成分があることに起因する遅延である。配線遅延の計算には、文献「W.C.Elmore,“The transient reponse of damped linear networks with particular regardto wideband amplifiers”, J.Appl.Physics vol 19 no 1, pp55-63, Jan.,1948」、または文献「J.Rubinstein, P.Penfield Jr., and M.A.Horowitz,“SignalDelay in RC Tree Networks”,IEEE Trans. on Computer-Aided Design, Vol. CAD-2, No.3, July 1983」に記載されているELMOREの式が使用されることが多い。
【0004】
しかし、ディープサブミクロンといわれるサブミクロンより微小な領域に入るにつれ、セル遅延は、配線の容量を純粋な容量負荷として、つまり抵抗成分が零として計算した結果と、回路解析プログラムのSPICE等でより詳細に配線抵抗を考慮して計算した実際の遅延時間とが合わなくなってきている。また、配線遅延に関しても、ELMOREの式から得られる遅延時間では十分な近似が得られなくなってきている。
【0005】
セルの遅延が合わなくなった原因として、配線を駆動するピンから遠い位置の容量が配線抵抗によってシールドされ、出力ピンの位置の電圧が閾値電圧まで変化する際に関係する実質的な負荷容量が、容量の総和より小さくなり、遅延時間が小さくなる現象がある。この現象により、従来の遅延計算では、実際よりも大きい遅延値を計算する場合があり、計算した遅延を用いたシミュレーションやスタティックタイミング解析では、タイミングエラーがなく正常動作すると考えた回路を実際に製造すると、タイミングエラーで誤動作する場合があった。具体的には、図14に示すように波形3のクロック信号には正しい遅延を計算し、波形1のようにデータパスには大きすぎる遅延が計算されて、シミュレーションやスタティックタイミング解析ではタイミングエラーがないとされるが、実際には波形2のようにホールドエラーとなる場合である。
【0006】
SPICE等のより高精度のシミュレータを使用すれば、遅延時間の精度をあげることができ、この問題を防ぐことができるが、SPICE等のより高精度のシミュレータは実行速度が遅く、またメモリも多く必要とするので、大規模な回路に対して使用することが困難である。そのため、SPICE等のより高精度のシミュレータよりも高速に遅延時間を計算する手法が研究されている。その手法の1つとして、AWE(Asymptotic Waveform Evaluation )という手法が1990年頃から提案されており、多くの論文が出されている。AWEの概要は、文献「Lawrence T.Pillage and Ronald A.Rohrer,“Asymptotic Waveform Evaluation for Timing Analysis”, IEEE Transaction on Computer-Aided Design, Vol.9, No.4, April 1990, 352-366”」に詳しく述べられている。
【0007】
以下、AWEについて簡単に説明する。
【0008】
AWEは最終的に計算したい信号波形を、
【数1】
Figure 0003636643
とする。この波形のラプラス変換された波形が、
【数2】
Figure 0003636643
になる。これを波形1とする。
【0009】
回路のアドミッタンスと電圧源をラプラス変換してSのn乗まで求め、それらを用いて実際の信号波形のラプラス変換された波形をSのn乗まで求める。これを波形2とする。AWEは、波形1と波形2のSの係数をn乗まで比較して連立方程式をたて、それを解くことで上記Ki,Piを求める。求められたKiを剰余(residue)、Piを極(pole)と呼ぶ。
【0010】
上記文献のp357 3.3章Stability の箇所には、低い次数では解が無かったり、極の実数部が正である解が得られる場合があり、その場合より高次のAWEで解を求めると述べている。これは、通常の信号波形の計算において発散する信号波形はありえないため、極の実部が正の解は、十分大きい時間に対してはよい近似ではないためである。
【0011】
また、上記文献の3.1章The AWE Approximation の箇所には、近似解の次数をqとすると、AWEの計算量はqの3乗のオーダであると述べられている。このように、次数が大きくなると計算量の急激な増大を招くため、実際の応用プログラムでは、すべての極の実数部が負である、できるだけ低い次数で解を求める必要があるが、次数がどこまで十分かということがわからないという問題があった。
【0012】
この問題に対して、安定な解を得る方法については、文献「“D.F.Anastasakis, N.Gopal, S.Y.Kim and L.T.Pillage,“On the Stability of Moment-Matching Approximations in Asymptotic Waveform Evaluation”, Proceedgins 29th ACM/IEEE Design Automation Conference, 207-212”」、あるいは文献「US PATENT“Pillage et al., Patent Number: 5,379,231, Method and Apparatus for Simulating a Microeletric Interconnect Circuit”」に述べられている。これらの文献では、安定した解が得られない場合には、モーメントをシフトして極を求め、シフトしていないモーメントを使用して剰余を求める方法が述べられている。この方法でも、モーメントを求める場合には、そのシフト量で正しそうな値が得られると予想する量だけ多くモーメントを計算する必要がある。そのため、計算に必要な時間が増大するという問題があった。
【0013】
AWEを用いたセルのモデル化については、文献「Florentin Dartu, Noel Menezes, Jessica Qian, and Lawrence T.Pillage,“A Gate-Delay Model for High-Speed CMOS Circuits”, Proceedings 31st ACM/IEEE Design Automation Conference, 576-580”」に述べられている。セルの出力ピンのモデルを図15及び図16に示す。セルの出力ピン100は、図15に示すように電圧源Vd101に内部抵抗Rd102が接続されていると近似する。以降、Vdriveでセルの出力ピンの位置での電圧を示す。図16では、図15の電圧源Vd101が、そのセルの入力信号が閾値を横切る時刻を0として、t0の時刻に変化が始まり、傾きがΔTである電圧源であることを示している。
【0014】
しかしながら、上記文献にあるように、実効容量(Ceff)を、セルがランプ波形を持った電源のΔT間の平均電流と同じ電流をもった容量として定義する必要はなく、実効容量(Ceff)をその配線を駆動するセルの出力ピン位置での電圧が、閾値を横切る時間と同じ時間をもつ容量として定義してもよい。
【0015】
また、上記文献では、上記t0、ΔT、Rdというパラメータをセルの特性を測定して得る必要がある。しかし、セルの既に測定されている特性値から、それらの値を計算するほうが便利である。文献「“大嶋孝幸、斎藤敏幸、藤田陽子、蓑田幸男、中谷隆、ディープサブミクロンに対応した遅延計算システム、信学技報TECHNICAL REPORT OF IEICE, VLD98-137(1999-03)” 」では、パラメータt0,ΔTを、既存の測定値から求める方法が述べられている。
【0016】
しかしながら、上記文献中で用いられている式(3)では、出力信号波形がランプ波形であることを仮定しており、誤差が大きくなっていた。
【0017】
また、上述した文献「Florentin Drtu, Noel Menezes, Jessica Qian, and Lawrence T.Pillage,“A Gate-Delay Model for High-Speed CMOS Circuits”, Proceedings 31st ACM/IEEE Design Automation Conference, 576-580” 」では、内部抵抗Rdは出力信号の波形のすその形から計算するように述べられている。また、文献「“大嶋孝幸、斎藤敏幸、藤田陽子、蓑田幸男、中谷隆、ディープサブミクロンに対応した遅延計算システム、信学技報TECHNICAL REPORT OF IEICE, VLD98-137(1999-03)” 」では、内部抵抗Rdについて既存の測定されている特性から変換する方法は述べられていない。出力信号のすその波形から計算するためには、セルの特性を測定しなおす必要がある。しかし、セルの既に測定されている特性値から、それらの値を計算するほうが便利である。
【0018】
【発明が解決しようとする課題】
以上説明したように、LSIの信号遅延時間を計算する従来のAWEという方法にあっては、計算量を少なくするためにできるだけ低い次数で解を求める必要があったが、どの程度までの次数で十分であるかということを見極めるのが困難であった。この不具合に対して、安定な解を求める方法が提案されているが、この方法にあっては、解を求める計算に多くの時間が必要であった。
【0019】
一方、AWEを用いたセルの従来におけるモデル化にあっては、計算に使用されるパラメータは、既存の測定値から求められているが、出力信号波形がランプ波形であると仮定されていたことによりパラメータの誤差が大きくなっていた。また、パラメータの1つを出力信号波形のすその波形から計算するためには、セルの特性を測定し直す必要があった。
【0020】
そこで、この発明は、上記に鑑みてなされたものであり、その目的とするところは、LSIの信号遅延時間を容易かつ短い時間で高精度に計算することができる半導体集積回路の信号遅延時間計算方法及び記憶媒体を提供することにある。
【0021】
【課題を解決するための手段】
上記目的を達成するために、課題を解決するための第1の手段は、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、前記半導体集積回路のラプラス変換されたアドミッタンスを求める第1のステップと、前記第1のステップで求められたアドミッタンスを駆動する電圧源のラプラス変換された電圧波形を求める第2のステップと、前記第1のステップで求められたアドミッタンスと、前記第2のステップで求められた電圧波形を用いて、前記半導体集積回路の任意の場所のラプラス変換された電圧波形を求める第3のステップと、前記第3のステップで求められた電圧波形を、該電圧波形の極と剰余を求めて実時間の電圧波形に近似する第4のステップと、前記第4のステップで求められた実時間の電圧波形に基づいて、前記半導体集積回路の信号遅延時間を計算する第5のステップと、前記第4のステップで求められた極の中に実数部が0より大きい極を含む場合には、前記第5のステップで求められた信号遅延時間が、予め設定された精度範囲内で求められたか否かを判定する第6のステップとを具備することを特徴とする。
【0022】
第2の手段は、前記第1の手段において、前記第2のステップの電圧源を、内部抵抗、電圧変化開始時間ならびに電圧変化の傾きをパラメータとした電圧源に近似することを特徴とする。
【0023】
第3の手段は、半導体集積回路における機能要素となるセルの入力信号の傾きと前記セルの出力端子の負荷容量を変数とした前記セルの出力端子における信号遅延時間の関数と、前記セルの入力信号の傾きと前記出力端子の負荷容量を変数とした前記出力端子の出力信号の傾きの関数とに基づいて、前記出力端子の出力信号の変化開始時間、ならびに出力信号の傾きをパラメータとするランプ電圧波形の電圧源と、内部抵抗を用いて前記セルの出力端子をモデル化し、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、前記内部抵抗の値を計算する第1のステップと、前記第1のステップで求められた内部抵抗値を固定し、前記出力端子の出力電圧の変化を時間に対して一次関数で表し、前記出力信号の変化開始時間、ならびに出力信号の傾きを求める第2のステップと、前記第1のステップで求められた内部抵抗値と、前記第2のステップで求められた出力信号の傾きを固定し、出力信号の変化開始時間だけを変化させて出力信号の変化開始時間を求める第3のステップとを具備することを特徴とする。
【0024】
第4の手段は、前記第3の手段において、前記内部抵抗値は、前記出力端子の負荷容量、ならびに入力信号の傾きを変数とした出力信号の傾きの関数に基づいて計算することを特徴とする。
【0025】
第5の手段は、半導体集積回路における機能要素となるセルの入力信号の傾きと前記セルの出力端子の負荷容量を変数とした前記セルの出力端子における信号遅延時間の関数と、前記セルの入力信号の傾きと前記出力端子の負荷容量を変数とした前記出力端子の出力信号の傾きの関数とに基づいて、前記出力端子の出力信号の変化開始時間、ならびに出力信号の傾きをパラメータとするランプ電圧波形の電圧源と、内部抵抗を用いて前記セルの出力端子をモデル化し、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、前記半導体集積回路のラプラス変換されたアドミッタンスを求める第1のステップと、前記出力端子の負荷容量、ならびに入力信号の傾きを変数とした出力信号の傾きの関数に基づいて、前記内部抵抗の値を計算する第2のステップと、前記第2のステップで求められた内部抵抗値を固定し、前記出力端子の出力電圧の変化を時間に対して一次関数で表し、前記出力信号の変化開始時間、ならびに出力信号の傾きを求める第3のステップと、前記第2のステップで求められた内部抵抗値と、前記第3のステップで求められた出力信号の傾きを固定し、出力信号の変化開始時間だけを変化させて出力信号の変化開始時間を求め、前記セルの出力端子をモデル化する第4のステップと、前記第2のステップで求められた内部抵抗値、前記第4のステップで求められた出力信号の変化開始時間、前記第3のステップで求められた出力信号の電圧の傾きを有する電圧源を用いて、ラプラス変換された電圧波形を求める第5のステップと、前記第1のステップで求められたアドミッタンスと、前記第2のステップで求められた内部抵抗値、前記第5のステップで求められた電圧波形を用いて、前記半導体集積回路の任意の場所のラプラス変換された電圧波形を求める第6のステップと、前記第6のステップで求められた電圧波形を、該電圧波形の極と剰余を求めて実時間の電圧波形に近似する第7のステップと、前記第7のステップで求められた実時間の電圧波形に基づいて、前記半導体集積回路の信号遅延時間を計算する第8のステップと、前記第7のステップで求められた極の中に実数部が0より大きい極を含む場合には、前記第8のステップで求められた信号遅延時間が、予め設定された精度範囲内で得られたか否かを判定する第9のステップとを具備することを特徴とする。
【0026】
第6の手段は、前記第1又は第5の手段において、前記求められた信号遅延時間が予め設定された精度範囲内であると判定された場合は、求められた信号遅延時間を選択し、一方求められた信号遅延時間が予め設定された精度範囲内ではないと判定された場合には、求められた信号遅延時間を非選択し、より高次またはより低次の近似計算を行い、再度信号遅延時間を求めるステップをさらに具備することを特徴とする。
【0027】
第7の手段は、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、前記半導体集積回路のラプラス変換されたアドミッタンスを求める第1のステップと、前記第1のステップで求められたアドミッタンスを駆動する電圧源のラプラス変換された電圧波形を求める第2のステップと、前記第1のステップで求められたアドミッタンスと、前記第2のステップで求められた電圧波形を用いて、前記半導体集積回路の任意の場所のラプラス変換された電圧波形を求める第3のステップと、前記第3のステップで求められた電圧波形を、該電圧波形の極と剰余を求めて実時間の電圧波形に近似する第4のステップと、前記第4のステップで求められた実時間の電圧波形に基づいて、前記半導体集積回路の信号遅延時間を計算する第5のステップと、前記第4のステップで求められた極の中に実数部が0より大きい極を含む場合には、前記第5のステップで求められた信号遅延時間が、予め設定された精度範囲内で求められたか否かを判定する第6のステップとをコンピュータに実行させるプログラムを記録したことを特徴とする。
【0028】
第8の手段は、半導体集積回路における機能要素となるセルの入力信号の傾きと前記セルの出力端子の負荷容量を変数とした前記セルの出力端子における信号遅延時間の関数と、前記セルの入力信号の傾きと前記出力端子の負荷容量を変数とした前記出力端子の出力信号の傾きの関数とに基づいて、前記出力端子の出力信号の変化開始時間、ならびに出力信号の傾きをパラメータとするランプ電圧波形の電圧源と、内部抵抗を用いて前記セルの出力端子をモデル化し、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、前記内部抵抗の値を計算する第1のステップと、前記第1のステップで求められた内部抵抗値を固定し、前記出力端子の出力電圧の変化を時間に対して一次関数で表し、前記出力信号の変化開始時間、ならびに出力信号の傾きを求める第2のステップと、前記第1のステップで求められた内部抵抗値と、前記第2のステップで求められた出力信号の傾きを固定し、出力信号の変化開始時間だけを変化させて出力信号の変化開始時間を求める第3のステップとをコンピュータに実行させるプログラムを記録したことを特徴とする。
【0029】
第9の手段は、半導体集積回路における機能要素となるセルの入力信号の傾きと前記セルの出力端子の負荷容量を変数とした前記セルの出力端子における信号遅延時間の関数と、前記セルの入力信号の傾きと前記出力端子の負荷容量を変数とした前記出力端子の出力信号の傾きの関数とに基づいて、前記出力端子の出力信号の変化開始時間、ならびに出力信号の傾きをパラメータとするランプ電圧波形の電圧源と、内部抵抗を用いて前記セルの出力端子をモデル化し、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、前記半導体集積回路のラプラス変換されたアドミッタンスを求める第1のステップと、前記出力端子の負荷容量、ならびに入力信号の傾きを変数とした出力信号の傾きの関数に基づいて、前記内部抵抗の値を計算する第2のステップと、前記第2のステップで求められた内部抵抗値を固定し、前記出力端子の出力電圧の変化を時間に対して一次関数で表し、前記出力信号の変化開始時間、ならびに出力信号の傾きを求める第3のステップと、前記第2のステップで求められた内部抵抗値と、前記第3のステップで求められた出力信号の傾きを固定し、出力信号の変化開始時間だけを変化させて出力信号の変化開始時間を求め、前記セルの出力端子をモデル化する第4のステップと、前記第2のステップで求められた内部抵抗値、前記第4のステップで求められた出力信号の変化開始時間、前記第3のステップで求められた出力信号の電圧の傾きを有する電圧源を用いて、ラプラス変換された電圧波形を求める第5のステップと、前記第1のステップで求められたアドミッタンスと、前記第2のステップで求められた内部抵抗値、前記第5のステップで求められた電圧波形を用いて、前記半導体集積回路の任意の場所のラプラス変換された電圧波形を求める第6のステップと、前記第6のステップで求められた電圧波形を、該電圧波形の極と剰余を求めて実時間の電圧波形に近似する第7のステップと、前記第7のステップで求められた実時間の電圧波形に基づいて、前記半導体集積回路の信号遅延時間を計算する第8のステップと、前記第7のステップで求められた極の中に実数部が0より大きい極を含む場合には、前記第8のステップで求められた信号遅延時間が、予め設定された精度範囲内で得られたか否かを判定する第9のステップとをコンピュータに実行させるプログラムを記録したことを特徴とする。
【0030】
第10の手段は、前記第7又は第9の手段において、前記求められた信号遅延時間が予め設定された精度範囲内であると判定された場合は、求められた信号遅延時間を選択し、一方求められた信号遅延時間が予め設定された精度範囲内ではないと判定された場合には、求められた信号遅延時間を非選択し、より高次またはより低次の近似計算を行い、再度信号遅延時間を求めるステップをさらに具備することを特徴とする。
【0031】
【発明の実施の形態】
以下、図面を用いてこの発明の一実施形態を説明する。
【0032】
図1はこの発明の一実施形態に係るLSIの信号遅延時間計算方法の全体の手順を示すフローチャート図である。図1において、手順の全体のフローは、大きく分けてセルパラメータの抽出(ステップS11)、配線のアドミッタンス計算(ステップS12)、実効容量、セル遅延の計算(ステップS13)、入力ピンでの遅延時間の計算(ステップS14)とからなる。このような手順において、この発明の特徴的な技術は、上記セルのパラメータ抽出、実効容量、セル遅延の計算、入力ピンでの遅延時間の計算の各ステップで使用される。
【0033】
セルのパラメータ抽出(ステップS11)において、既存の測定済みのセルのパラメータである、StateDepend のパス毎の入力傾きと、出力負荷容量の関数としての出力ピンの信号の傾きと、StateDepend のパス毎の入力傾きと出力負荷容量の関数としてのセル遅延のデータとから、図15に示す内部抵抗(Rd)、図16に示す出力信号の変化開始時間(t0)、傾き(ΔT)を計算する方法を説明する。
【0034】
ここで、StateDepend のパスとは、例えば図2で示すNOT((A AND B) OR C)の機能を持ったCMOSの回路で、CがHIGHからLOWに変わることで出力信号がLOWからHIGHに上がる場合に、AとBの1つだけがLOWである場合と、AとBの両方がLOWである場合では、電源VDDから出力までのONになるPMOSトランジスタの構成が変わるため、内部抵抗の値が異なる。このように、パスをAとBの1つだけがLOWである場合と、AとBの両方がLOWである場合などを区別した場合に、StateDepend のパスと呼ぶ。
【0035】
内部抵抗Rdは立ち上がり、立ち下がり、StateDepend のパスの関数であり、t0,ΔTは、立ち上がり、立ち下がり、StateDepend パス、入力信号の傾き、負荷容量の関数と考える。上記の出力信号の傾きとセル遅延は、数式で与えられる場合もあり、テーブルの形で与えられる場合もある。
【0036】
ここでは、信号の傾きを図3に示すように、電源VDD、VSS=0Vとすると、0.2×VDDから0.8×VDDまでの時間と定義する。信号の傾きの定義はほかにもあるが、本質的には同じであり、簡単に変換できるため、ここでは、出力信号がLOWからHIGHに変化する場合について、図3に示す定義で説明する。
【0037】
セルパラメータ抽出の大まかな手順を図4に示す。
【0038】
図4において、内部抵抗Rdを求める計算(ステップS41)では、Rdは
StateDepend パス毎、立ち上がり、立ち下り毎に計算する必要がある。ロードが十分大きい時は、Vdの波形はステップ波形と仮定してもよいので、出力ピンの位置での電圧波形Vout は次のように近似できる(出力信号がLOWからHIGHになる場合)。
【0039】
【数3】
Figure 0003636643
t1を0.2×VDDになる時間、thを0.8×VDDになる時間とすると
【数4】
Figure 0003636643
となり、これを解くと
【数5】
tl=−ln0.8×Rd×C
th=−ln0.2×Rd×C
となる。
【0040】
したがって、傾きは
【数6】
Figure 0003636643
となる。傾きを、K0+K1×Cと表現されていた場合に、Cが十分大きいためK0の項は無視できる。したがって、
【数7】
Figure 0003636643
上式によって、内部抵抗値(Rd)が計算できる。
【0041】
または、出力の傾きが以下に示すようなテーブルで与えられる。
【0042】
【数8】
Figure 0003636643
上式において、横軸(a11,a12,a13,a14の方向)が容量で、それぞれLoadp1,Loadp2,Loadp3,Loadp4の値を持ち、Loadp1<Loadp2<Loadp3<Loadp4の関係があるとする。縦軸(a11,a21,a31,a41の方向)が入力信号の傾きで、Slewp1,Slewp2,Slewp3,Slewp4の値を持ち、Slewp1<Slewp2<Slewp3<Slewp4の関係があるとする。まず、入力信号がステップ波形に近いほうが、Vdの波形もステップ波形に近いと考えられるため、入力の傾きが最も小さく、最も容量が大きい点であるa13,a14を使って、
【数9】
Figure 0003636643
で求めることができる。より正確な値を得るために、よりステップ応答に近い入力傾きが0、容量が無限大の場合に、補外して値を求めてもよい。
【0043】
次に、図4のΔT,t0を計算するステップS42では、ステップS41で求めたRdを固定して、出力波形がランプであることを仮定し、ΔT,t0を求める計算方法を説明する。
【0044】
Vdriveを、セルの出力ピンに容量Cが付いた場合の電圧波形とすると、次のように表される(t=t0でVdrive=0、立ち上がりの場合)。
【0045】
【数10】
Figure 0003636643
入力ピン傾きがINSLEWで、負荷容量がCである時の出力ピンの傾きをOUTSLEW、セル遅延をDELAYとする。閾値電圧をVthとし、時刻t1に電圧が0.2×VDDになり、時刻thに0.8×VDDになるとすると、
【数11】
Vdrive(tl,t0,ΔT)−0.2×VDD=0 …(1)
Vdrive(th,t0,ΔT)−0.8×VDD=0 …(2)
th−tl=OUTSLEW …(3)
Vdrive(DELAY,t0,ΔT)−Vth=0 …(4)
となり、t0,ΔTを求めるためには、上記(1),(2),(3),(4)式を解けばよい。しかし、Vdrive は線形の方程式ではないので、解くことは非常に困難である。そのため、この段階では、図5に示すように出力波形がt1、DELAY、thの間で直線になると近似する。
【0046】
上式では、次の式(5)、(6)のように近似することになる。
【0047】
【数12】
Figure 0003636643
式(5)、(6)を式(1)、(2)に代入し、図6に示す近似計算のフローにしたがってNewton法で解く。図6において、ステップ61では、ΔT,t0の初期値を与える。Rdは図4に示すステップS41ですでに求められた値を使用する。ステップS62では、上式(5)、(6)で求められたth,tlを用いて上式(1)、(2)の左辺を計算する。ステップS63では、上式(1)、(2)の左辺の値が共に一定値以下であれば計算を終了し(ステップS66)、その時のΔT,t0を解とする。ステップS63では、上式(1)、(2)の左辺の値が共に一定値以下でなければ、ステップS64)に進む。ステップS64では、ヤコビアンを計算する。ヤコビアンの計算は次のようになる。
【0048】
【数13】
Figure 0003636643
とすると、
【数14】
Figure 0003636643
で計算できる。
【0049】
上記ヤコビアンを使用して、新しいt0、ΔTは以下のように計算できる。
【0050】
【数15】
Figure 0003636643
t0,ΔTはk番目の計算値を示す。
【0051】
【数16】
Figure 0003636643
は逆行列を示す。ステップS65では、ヤコビアンを用いてΔT、t0を補正し、ステップS62)に戻る。
【0052】
図4のステップS43のt0の補正では、近似式(5)、(6)を使用したため、図4のステップS42で求められたt0には誤差が含まれている。
【0053】
次に、t0を補正する方法を説明する。
【0054】
図7に上記(4)式を用いた、t0を変数としたNewton 法による計算フローを示す。図7において、ステップS71では、図4のステップS42で求められたt0を初期値として、図4のステップS41で求められたRd、ステップS42で求められたΔTを使用して計算を開始する。ステップS72では、上式(4) を計算する。ステップS73では、上式(4) の左辺が十分に小さければ、計算を終了し、その時のt0を解とする。式(4) の左辺が十分に小さくない場合には、ステップS74に進む。ステップS75では、新しいt0の値を計算して、ステップS72に戻る。最終的な計算結果であるt0、ΔTは、回路の遅延時間計算の時にその都度計算することもできるが、あらかじめ計算しておいて、補間、補外して用いるほうが回路の遅延計算の時の実行時間が短くできる。
【0055】
次に、図1に示すステップS12の配線のアドミッタンス計算において、配線からラプラス変換されたアドミッタンスの抽出には、文献「P.R.O'Brien and T.L.Savarino,“Modeling the Driving-Point Characteristic of Resistive Interconnect for Accurate Delay Estimation”, Proc.IEEE International Conference on Computer-Aided Design, 1989」に記載されている手法が使用できる。上記文献で使われているオーダーよりも高いオーダーまで計算した結果を示す。
【0056】
以下に、その手法を説明する。
【0057】
セルの出力ピン位置でのラプラス変換されたアドミッタンスを、Sのn次まで求める。2次AWEではn=4、3次AWEではn=6となる。ここでは、Sの6次までを示す。なお、上記文献では、配線は出力ピンをルートとしたツリー状になっていることを仮定している。以下に示す5つのルールを適用することで、出力ピン位置から見た配線のアドミッタンスのn次までのラプラス変換が得られる。
【0058】
(1)FANOUT側からFANINに計算する。これは、入力ピンから、その配線を駆動する出力ピンの方向に計算することを意味する。
【0059】
(2)最初の値は0。
【0060】
(3)C(容量)ルール。
【0061】
容量は図8に示すように接続されているとする。図8において、Yupは、容量(容量値C)1をはさんで駆動する出力ピンに近いアドミッタンスを示す。Ydnは、容量1をはさんで入力ピンに近いアドミッタンスを示す。電流保存則より、
【数17】
Yup(S)×V(S)=Ydn(S)×V(S)+S×C×V(S)
となるので、
【数18】
Figure 0003636643
とすると、
【数19】
Yup1=Ydn1+C
Yup2=Ydn2
Yup3=Ydn3
Yup4=Ydn4
Yup5=Ydn5
Yup6=Ydn6
となる。
【0062】
(4)Rルール。
【0063】
図9に示すように、抵抗2が接続されているとする。図9において、Yupは、抵抗(抵抗値R)2をはさんで駆動する出力ピンに近いアドミッタンスを示す。Ydnは、抵抗2をはさんで入力ピンに近いアドミッタンスを示す。Vup(S)は、抵抗2をはさんで駆動する出力ピンに近い部分の電圧のラプラス変換したものを示す。Vdn(S)は、抵抗2をはさんで出力ピンから遠い部分の電圧のラプラス変換したものを示す。電流保存則より、
【数20】
Figure 0003636643
上式を整理して、YupをYdnで表すと、
【数21】
Figure 0003636643
となる。
【0064】
上式をSの係数で整理すると、
【数22】
Figure 0003636643
となる。
【0065】
(5)マージルール。
【0066】
図10において、Yupは、分岐点をはさんで駆動する出力ピンに近いアドミッタンスを示す。Ydnは、分岐点をはさんで入力ピンに近いアドミッタンスを示す。電流一定より、
【数23】
Figure 0003636643
となる。
【0067】
図1に示すステップ13の出力ピン位置での実効容量、遅延時間の計算の概略は、図11に示す処理フローのようになる。実効容量Ceff 、遅延値は、この通りでは収束しない場合には、0を初期の実効容量の下限とし、全容量を上限とした2分法により実効容量(Ceff)を求めることができる。図11のステップS111では、配線の全容量を実効容量の初期値とする。全容量は図1に示すステップS12の計算の中で、Sの一次の係数として計算されている。ステップS112では、実効容量から、ΔT,t0を計算する。図1に示すステップS11で入力信号の傾きと負荷容量を変数としたΔT,t0の関数が計算されている。入力信号の傾きは、すでにわかっているとすると、ΔT,t0の値は、実効容量を負荷容量として計算できる。ステップS113では、出力ピン位置での波形を求め閾値を横切る遅延時間を求める。
【0068】
波形を計算するために、次のような方法をとる。図16の電圧波形Vdを、図12に示すようにランプ波形を、無限に増大するランプ波形(H1)から時間のずれた無限に減少するランプ波形(H2)を引いたものと考える。無限のランプ波形に対するAWEを計算し、実時間の波形に変換した後、その後時間をずらした波形を引くことで最終波形を求める。
【0069】
式で示すと次のようになる。U(t)はステップ関数である。
【0070】
【数24】
Figure 0003636643
以下では、Vd∞を無限に増大するランプ波形で、Vdrive を出力ピン位置の電圧波形とし、計算の便宜上、t0を0として計算して後で補正する。電流一定より、
【数25】
Figure 0003636643
とすると、
【数26】
Figure 0003636643
となる。
【0071】
上式の係数から、AWEは次のようにして波形を計算する。
【0072】
一次のAWEでは、
【数27】
Figure 0003636643
となる。従って、無限に増加するランプ波形に対する電圧波形は、
【数28】
Figure 0003636643
となる。
【0073】
二次のAWEでは、
【数29】
Figure 0003636643
を解いて、a0、a1を求め、次の方程式を解く。なお、a0、a1は、前記(数27)の各値が実数であることにより実数となる。
【0074】
【数30】
a0×p+a1×p+1=0
この方程式の解をp1,p2とする。p1,p2は実数とは限らず複素数も含む。
【0075】
【数31】
Figure 0003636643
上式解いてk1,k2を求める。k1,k2は実数とは限らず複素数も含む。無限に増加するランプ波形に対する電圧波形は、
【数32】
Figure 0003636643
となる。
【0076】
三次のAWEでは、
【数33】
Figure 0003636643
となる。この解をa0、a1、a2とする。a0、a1、a2は、前記(数27)の各値が実数であることにより実数となる。これらの値を使用して、
【数34】
a0×p+a1×p+a2×p+1=0
を解いて、解をp1,p2,p3とする。p1,p2,p3は実数とは限らず複素数も含む。
【0077】
次に、以下の式を解く。
【0078】
【数35】
Figure 0003636643
上式の解をk1,k2,k3とする。k1,k2,k3は実数とは限らず複素数も含む。従って、無限に増加するランプ波形に対する電圧波形は、
【数36】
Figure 0003636643
となる。最終的な波形は、t0も考慮すると、
【数37】
Vdrive(t)=Vdrive∞(t−t0)×U(t−t0)−Vdrive∞(t−ΔT−t0)×U(t−ΔT−t0)
となる。ここで、U(t)は時刻0で0→1となるステップ関数を示す。
【0079】
次に、図13の遅延時間の計算フローで示すように、Vdrive(t)=Vth を解いて、遅延時間を求める。図13において、ステップS1301では、3次のAWEを解く。ステップS1302では、ステップS1301の計算中で得た極の中で、実部が正のものが0個の場合は、ステップS1305へ進む。一方、実部が正のものが1、2の場合には、ステップS1303に進む。実部が正のものが3つの場合は、ステップS1306へ進む。ステップS1303では、解の有効な範囲を次のようにして求める。
【0080】
3次のAWEで、実部が正の極が1つ(p1)、負の極が2つ(p2,p3)の場合は、p1、p2、p3は、前記(数34)の実係数a0、a1、a2の3次方程式の解であることにより、解は正の実数の極が1つで負の実数の極が2つ、又は正の実数の極が1つで実数部が負の複素数の極が2つ(この2つは共役)になる。
【0081】
正の実数の極が1つ、負の実数の極が2つの場合には、正の極をp1、負の極をp2,p3とすると、
【数38】
|k2×exp(p2×tmax1)+k3×exp(p3×tmax1)|=|k1×exp(p1×tmax1)|
となるtmax1が求まれば、t<tmax1では、実部が正の極の項の影響が小さいといえる。
【0082】
【数39】
Figure 0003636643
であることから、
【数40】
Figure 0003636643
となるtmax2は、
【数41】
Figure 0003636643
となる。
【0083】
tmax2が0より大きい場合は、0<t<tmax2/<定数>を正しい遅延時間の範囲とする。<定数>を例えば5とすれば、正の実部をもつ極の項は、せいぜいexp(−5)以下の寄与をすることがわかる。
【0084】
正の実数の極が1つ、実数部が負の複素数の極が2つ(この2つは共役)の場合には、実数部が正の極をp1、実数部が負の極をp2,p3とすると、
【数42】
|k2×exp(p2×tmax1)+k3×exp(p3×tmax1)|=|k1×exp(p1×tmax1)|
となるtmax1が求まれば、t<tmax1では、実部が正の極の項の影響が小さいといえる。
【0085】
【数43】
Figure 0003636643
であることから、
【数44】
Figure 0003636643
となるtmax2は、
【数45】
Figure 0003636643
となる。
【0086】
tmax2が0より大きい場合は、0<t<tmax2/<定数>を正しい遅延時間の範囲とする。
【0087】
3次のAWEで、実部が正の極が2つ(p1,p2)、負の極が1つ(p3)の場合は、前記(数34)の実係数a0、a1、a2の3次方程式の解となることにより、解は、p3は実数で負、p1、p2は共役複素数で実部が正か、p3は実数で負、p1、p2は実数で実部は正のどちらかである。
【0088】
p3は実数で負、p1、p2は実数で実部は正の場合(p1>=p2>0>p3)は、
【数46】
|k1×exp(p1×tmax1)+k2×exp(p2×tmax1)|=|k1×exp(p3×tmax1)|
となるtmax1が求まれば、t<tmax1では、実部が正の極の項の影響が小さいといえる。
【0089】
【数47】
Figure 0003636643
であることから、
【数48】
|k3×exp(p3×tmax2)|=(|k1|+|k2|)×exp(p1×tmax2)
であるtmax2は、
【数49】
Figure 0003636643
となる。
【0090】
tmax2が0より大きい場合は、0<t<tmax2/<定数>を正しい遅延時間の範囲とする。
【0091】
p3は実数で負、p1、p2は共役複素数で実部が正の場合には、
【数50】
|k1×exp(p1×tmax1)+k2×exp(p2×tmax1)|=|k1×exp(p3×tmax1)|
となるtmax1が求まれば、t<tmax1では、実部が正の極の項の影響が小さいといえる。
【0092】
【数51】
|k1|=|k2|、Real(p1)=Real(p2)であるので、
|k1×exp(p1×t)+k2×exp(p2×t)|≦2×|k1|×exp(Real(p1)×t)
であることから、
【数52】
|k3×exp(p3×tmax2)|=2×|k1|×exp(Real(p1)×tmax2)
であるtmax2は、
【数53】
Figure 0003636643
となる。
【0093】
tmax2が0より大きい場合は、0<t<tmax2/<定数>を正しい遅延時間の範囲とする。
【0094】
図13におけるステップS1304において、求められた遅延時間がステップS1303で計算した範囲内であればステップS1305へ進む。そうでない場合は、ステップS1306へ進む。ステップS1305では、3次のAWEで求められた遅延時間を正しい遅延時間とみなし、計算を終了する。ステップS1306では、2次のAWEで遅延時間を求める。ステップS1307では、求められた極の中に実数部が正のものが0の時は、ステップS1310に進む。実数部が正のものが1つの時は、ステップS1308に進む。実数部が正の解の2つの時は、ステップS1311に進む。ステップS1308では、有効な範囲を次のようにして求める。
【0095】
2次のAWEで、実部が正の極が1つ(p1)、負の極が1つ(p2)の場合は、p1、p2、p3は次式の実係数a0,a1の2次方程式の解となる。
【0096】
【数54】
a0×p+a1×p+1=0
p1は実数で正
p2は実数で負
k1,k2も実数
【数55】
Figure 0003636643
tmaxが0より大きい場合は、0<t<tmax/<定数>を正しい遅延時間の範囲とする。<定数>を例えば5とすれば、正の実部をもつ極の項は波形に対してせいぜいexp(−5)以下の寄与をすることがわかる。
【0097】
通常、信号波形は発散することはないので、極(Piにあたる)の実数部が正であることはない。しかしながら、近似的にSのn次までのみ計算しているため、計算上実数部が正である極を計算することがある。Piが小さい項は、小さい項に比べてSの次数の大きい方に影響するため、nより大きい次数の無視された項は、Piより小さい項を含んでいると考えられる。Piがより小さいとは、ゆっくり信号が変化する項を示しており、信号波形が閾値をこえる遅延時間を求めたい場合でも、実数部が正である極が計算上でてきたとしても、遅延時間は十分な精度で計算できる。
【0098】
ステップS1309で得られた遅延時間が、ステップS1308で得られた範囲内である場合は、ステップS1310へ進む。そうでない場合は、ステップS1311へ進む。ステップS1310では、2次のAWEで遅延時間を求め、計算を終了する。ステップS1311では、1次のAWEで遅延時間を求め、計算を終了する。
【0099】
次に、図1のステップS14に示す入力ピン位置での電圧波形の計算について説明する。図1のステップS13により、出力ピン位置での電圧波形のラプラス変換されたSのn次までの係数が求められている。これにより、入力ピン位置での電圧波形のラプラス変換の係数を求めるには次のようにする。
【0100】
(1)出力ピンから入力ピンへ計算する。
【0101】
(2)Cルールは、電圧は同一であるので、次式のようになる。
【0102】
【数56】
Vdn=Vup
(3)Rルール。
【0103】
【数57】
Figure 0003636643
Vdnについて、Sの次数に対してまとめると以下のようになる。
【0104】
【数58】
Vdn−2=Vup−2
Vdn−1=Vup−1−R×Yup×Yup−2
Vdn=Vup−R×Yup×Vup−2−R×Yup×Vup−1
Vdn=Vup−R×Yup×Vup−2−R×Yup×Vup−1−R×Yup×Vup
Vdn=Vup−R×Yup×Vup−2−R×Yup×Vup−1−R×Yup×Vup−R×Yup×Vup
Vdn=Vup−R×Yup×Vup−2−R×Yup×Vup−1−R×Yup×Vup−R×Yup×Vup−R×Yup×Vup
Vdn=Vup−R×Yup×Vup−2−R×Yup×Vup−1−R×Yup×Vup−R×Yup×Vup−R×Yup×Vup−R×Yup×Vup
(4)分散ルール。
【0105】
特に考慮する必要はない。入力ピン位置での遅延時間を求めるには、Vdnをそれぞれ、
−2=Vdn−2,m−1=Vdn−1,m=Vdn,m=Vdn,m=Vdn,m=Vdn,m=Vdn
として、図11に示すステップS1103と同じようにして、入力ピン位置での遅延時間を計算すればよい。
【0106】
このように、上記実施形態によれば、AWEを用いた遅延計算手法において、極の実部が正の場合でも、精度良い遅延時間の計算に使用できる場合があり、精度が良く使用できる範囲を計算することで、遅延時間の結果がその範囲内であれば、精度が良いことがわかり、次数を変えて再計算する必要がなくなる。これにより、遅延時間を容易かつ精度よく求めることができる。また、計算時間を短縮することも可能となる。また、AWEを用いた遅延計算手法において、入力信号の傾きと出力ピンの負荷容量を変数とした既存の遅延時間の関数と、入力信号の傾きと出力ピンの負荷容量を変数とした既存の出力信号の傾きの関数から、セルの特性を精度良く計算することが可能となり、セルをモデル化した際のパラメータを求める際に、セルの特性を測定しなおす必要がなくなる。
【0107】
なお、上記実施形態の信号遅延時間計算方法をコンピュータにおいて実現するためのプログラムは、記録媒体に保存することができる。この記録媒体をコンピュータによって読み込ませ、プログラムを実行してコンピュータを制御しながら上述した計算方法を実現することができる。ここで、記録媒体とは、メモリ装置、磁気ディスク装置、光ディスク装置等、プログラムを記録してコンピュータが読み取ることができる装置が含まれる。また、この計算方法を実現するためのハードウェアは、例えばプログラムを実行するための各種処理を行い制御中枢となるCPUと、キーボード、マウス、ライトペン、又はフレキシブルディスク装置等の入力装置と、メモリ装置やディスク装置等の外部記憶装置と、ディスプレイ装置、プリンタ装置等の出力装置等を備えた通常のコンピュータシステムにより構築される。なお、前記CPUは前記処理を記述するコンピュータ言語等の処理を行う演算部と、前記処理の命令を記憶する主記憶部を備えている。
【0108】
【発明の効果】
以上説明したように、この発明によれば、AWEを用いた信号遅延計算手法において、極の実部が正の場合でも、精度良い遅延時間の計算に使用できる場合があり、精度が良く使用できる範囲を計算することで、遅延時間の結果がその範囲内であれば、精度が良いことがわかり、次数を変えて再計算する必要がなくなる。
【0109】
これにより、LSIの信号遅延時間を容易かつ短い時間で高精度に計算することができる。
【0110】
また、AWEを用いた遅延計算手法において、セルの特性を既存のセルの出力ピンの入力信号の傾きと出力ピンの負荷容量を変数としたセルの遅延時間の関数と、入力信号の傾きと出力ピンの負荷容量を変数とした出力信号の傾きの関数とに基づいて、信号遅延時間を精度良く計算することが可能となり、セルの特性を測定しなおす必要がなくなる。
【図面の簡単な説明】
【図1】この発明の一実施形態に係る半導体集積回路の信号遅延時間計算方法の手順を示すフローチャートである。
【図2】 StateDependの遅延時間を持ったセルの一例を示す図である。
【図3】信号の傾きの一例を示す図である。
【図4】セルのパラメータ抽出の処理手順を示すフローチャートである。
【図5】近似出力波形の一例を示す図である。
【図6】パラメータt0、ΔTを求める最初の近似計算の処理手順を示すフローチャートである。
【図7】パラメータt0の補正計算の処理手順を示すフローチャートである。
【図8】配線のアドミッタンス計算における容量の接続を示す図である。
【図9】配線のアドミッタンス計算における抵抗の接続を示す図である。
【図10】配線のアドミッタンス計算における分岐を示す図である。
【図11】実効容量、出力ピン位置での遅延計算処理の手順を示すフローチャートである。
【図12】電圧波形の分解例を示す図である。
【図13】信号遅延時間の計算処理の手順を示すフローチャートである。
【図14】タイミングエラーの一例を示す図である。
【図15】セルの出力ピンのモデルを示す図である。
【図16】図15における電圧波形の一例を示す図である。
【符号の説明】
1 容量
2 抵抗

Claims (10)

  1. 半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、
    前記半導体集積回路のラプラス変換されたアドミッタンスを求める第1のステップと、
    前記第1のステップで求められたアドミッタンスを駆動する電圧源のラプラス変換された電圧波形を求める第2のステップと、
    前記第1のステップで求められたアドミッタンスと、前記第2のステップで求められた電圧波形を用いて、前記半導体集積回路の任意の場所のラプラス変換された電圧波形を求める第3のステップと、
    前記第3のステップで求められた電圧波形を、該電圧波形の極と剰余を求めて実時間の電圧波形に近似する第4のステップと、
    前記第4のステップで求められた実時間の電圧波形に基づいて、前記半導体集積回路の信号遅延時間を計算する第5のステップと、
    前記第4のステップで求められた極の中に実数部が0より大きい極を含む場合には、前記第5のステップで求められた信号遅延時間が、予め設定された精度範囲内で求められたか否かを判定する第6のステップと
    を具備することを特徴とする半導体集積回路の信号遅延時間計算方法。
  2. 前記第2のステップの電圧源を、内部抵抗、電圧変化開始時間ならびに電圧変化の傾きをパラメータとした電圧源に近似する
    ことを特徴とする請求項1記載の半導体集積回路の信号遅延時間計算方法。
  3. 半導体集積回路における機能要素となるセルの入力信号の傾きと前記セルの出力端子の負荷容量を変数とした前記セルの出力端子における信号遅延時間の関数と、前記セルの入力信号の傾きと前記出力端子の負荷容量を変数とした前記出力端子の出力信号の傾きの関数とに基づいて、前記出力端子の出力信号の変化開始時間、ならびに出力信号の傾きをパラメータとするランプ電圧波形の電圧源と、内部抵抗を用いて前記セルの出力端子をモデル化し、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、
    前記内部抵抗の値を計算する第1のステップと、
    前記第1のステップで求められた内部抵抗値を固定し、前記出力端子の出力電圧の変化を時間に対して一次関数で表し、前記出力信号の変化開始時間、ならびに出力信号の傾きを求める第2のステップと、
    前記第1のステップで求められた内部抵抗値と、前記第2のステップで求められた出力信号の傾きを固定し、出力信号の変化開始時間だけを変化させて出力信号の変化開始時間を求める第3のステップと
    を具備することを特徴とする半導体集積回路の信号遅延時間計算方法。
  4. 前記内部抵抗値は、前記出力端子の負荷容量、ならびに入力信号の傾きを変数とした出力信号の傾きの関数に基づいて計算する
    ことを特徴とする請求項3記載の半導体集積回路の信号遅延時間計算方法。
  5. 半導体集積回路における機能要素となるセルの入力信号の傾きと前記セルの出力端子の負荷容量を変数とした前記セルの出力端子における信号遅延時間の関数と、前記セルの入力信号の傾きと前記出力端子の負荷容量を変数とした前記出力端子の出力信号の傾きの関数とに基づいて、前記出力端子の出力信号の変化開始時間、ならびに出力信号の傾きをパラメータとするランプ電圧波形の電圧源と、内部抵抗を用いて前記セルの出力端子をモデル化し、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、
    前記半導体集積回路のラプラス変換されたアドミッタンスを求める第1のステップと、
    前記出力端子の負荷容量、ならびに入力信号の傾きを変数とした出力信号の傾きの関数に基づいて、前記内部抵抗の値を計算する第2のステップと、
    前記第2のステップで求められた内部抵抗値を固定し、前記出力端子の出力電圧の変化を時間に対して一次関数で表し、前記出力信号の変化開始時間、ならびに出力信号の傾きを求める第3のステップと、
    前記第2のステップで求められた内部抵抗値と、前記第3のステップで求められた出力信号の傾きを固定し、出力信号の変化開始時間だけを変化させて出力信号の変化開始時間を求め、前記セルの出力端子をモデル化する第4のステップと、
    前記第2のステップで求められた内部抵抗値、前記第4のステップで求められた出力信号の変化開始時間、前記第3のステップで求められた出力信号の電圧の傾きを有する電圧源を用いて、ラプラス変換された電圧波形を求める第5のステップと、
    前記第1のステップで求められたアドミッタンスと、前記第2のステップで求められた内部抵抗値、前記第5のステップで求められた電圧波形を用いて、前記半導体集積回路の任意の場所のラプラス変換された電圧波形を求める第6のステップと、
    前記第6のステップで求められた電圧波形を、該電圧波形の極と剰余を求めて実時間の電圧波形に近似する第7のステップと、
    前記第7のステップで求められた実時間の電圧波形に基づいて、前記半導体集積回路の信号遅延時間を計算する第8のステップと、
    前記第7のステップで求められた極の中に実数部が0より大きい極を含む場合には、前記第8のステップで求められた信号遅延時間が、予め設定された精度範囲内で得られたか否かを判定する第9のステップと
    を具備することを特徴とする半導体集積回路の信号遅延時間計算方法。
  6. 前記求められた信号遅延時間が予め設定された精度範囲内であると判定された場合は、求められた信号遅延時間を選択し、一方求められた信号遅延時間が予め設定された精度範囲内ではないと判定された場合には、求められた信号遅延時間を非選択し、より高次またはより低次の近似計算を行い、再度信号遅延時間を求めるステップをさらに具備する
    ことを特徴とする請求項1又は5記載の半導体集積回路の信号遅延時間計算方法。
  7. 半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、
    前記半導体集積回路のラプラス変換されたアドミッタンスを求める第1のステップと、
    前記第1のステップで求められたアドミッタンスを駆動する電圧源のラプラス変換された電圧波形を求める第2のステップと、
    前記第1のステップで求められたアドミッタンスと、前記第2のステップで求められた電圧波形を用いて、前記半導体集積回路の任意の場所のラプラス変換された電圧波形を求める第3のステップと、
    前記第3のステップで求められた電圧波形を、該電圧波形の極と剰余を求めて実時間の電圧波形に近似する第4のステップと、
    前記第4のステップで求められた実時間の電圧波形に基づいて、前記半導体集積回路の信号遅延時間を計算する第5のステップと、
    前記第4のステップで求められた極の中に実数部が0より大きい極を含む場合には、前記第5のステップで求められた信号遅延時間が、予め設定された精度範囲内で求められたか否かを判定する第6のステップと
    をコンピュータに実行させるプログラムを記録したことを特徴とするコンピュータ読み取り可能な記録媒体。
  8. 半導体集積回路における機能要素となるセルの入力信号の傾きと前記セルの出力端子の負荷容量を変数とした前記セルの出力端子における信号遅延時間の関数と、前記セルの入力信号の傾きと前記出力端子の負荷容量を変数とした前記出力端子の出力信号の傾きの関数とに基づいて、前記出力端子の出力信号の変化開始時間、ならびに出力信号の傾きをパラメータとするランプ電圧波形の電圧源と、内部抵抗を用いて前記セルの出力端子をモデル化し、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、
    前記内部抵抗の値を計算する第1のステップと、
    前記第1のステップで求められた内部抵抗値を固定し、前記出力端子の出力電圧の変化を時間に対して一次関数で表し、前記出力信号の変化開始時間、ならびに出力信号の傾きを求める第2のステップと、
    前記第1のステップで求められた内部抵抗値と、前記第2のステップで求められた出力信号の傾きを固定し、出力信号の変化開始時間だけを変化させて出力信号の変化開始時間を求める第3のステップと
    をコンピュータに実行させるプログラムを記録したことを特徴とするコンピュータ読み取り可能な記録媒体。
  9. 半導体集積回路における機能要素となるセルの入力信号の傾きと前記セルの出力端子の負荷容量を変数とした前記セルの出力端子における信号遅延時間の関数と、前記セルの入力信号の傾きと前記出力端子の負荷容量を変数とした前記出力端子の出力信号の傾きの関数とに基づいて、前記出力端子の出力信号の変化開始時間、ならびに出力信号の傾きをパラメータとするランプ電圧波形の電圧源と、内部抵抗を用いて前記セルの出力端子をモデル化し、半導体集積回路のラプラス変換されたアドミッタンスのn次までの項で信号の電圧波形を計算し、前記半導体集積回路の信号遅延時間を近似的に求める半導体集積回路の信号遅延時間計算方法(AWE)において、
    前記半導体集積回路のラプラス変換されたアドミッタンスを求める第1のステップと、
    前記出力端子の負荷容量、ならびに入力信号の傾きを変数とした出力信号の傾きの関数に基づいて、前記内部抵抗の値を計算する第2のステップと、
    前記第2のステップで求められた内部抵抗値を固定し、前記出力端子の出力電圧の変化を時間に対して一次関数で表し、前記出力信号の変化開始時間、ならびに出力信号の傾きを求める第3のステップと、
    前記第2のステップで求められた内部抵抗値と、前記第3のステップで求められた出力信号の傾きを固定し、出力信号の変化開始時間だけを変化させて出力信号の変化開始時間を求め、前記セルの出力端子をモデル化する第4のステップと、
    前記第2のステップで求められた内部抵抗値、前記第4のステップで求められた出力信号の変化開始時間、前記第3のステップで求められた出力信号の電圧の傾きを有する電圧源を用いて、ラプラス変換された電圧波形を求める第5のステップと、
    前記第1のステップで求められたアドミッタンスと、前記第2のステップで求められた内部抵抗値、前記第5のステップで求められた電圧波形を用いて、前記半導体集積回路の任意の場所のラプラス変換された電圧波形を求める第6のステップと、
    前記第6のステップで求められた電圧波形を、該電圧波形の極と剰余を求めて実時間の電圧波形に近似する第7のステップと、
    前記第7のステップで求められた実時間の電圧波形に基づいて、前記半導体集積回路の信号遅延時間を計算する第8のステップと、
    前記第7のステップで求められた極の中に実数部が0より大きい極を含む場合には、前記第8のステップで求められた信号遅延時間が、予め設定された精度範囲内で得られたか否かを判定する第9のステップと
    をコンピュータに実行させるプログラムを記録したことを特徴とするコンピュータ読み取り可能な記録媒体。
  10. 前記求められた信号遅延時間が予め設定された精度範囲内であると判定された場合は、求められた信号遅延時間を選択し、一方求められた信号遅延時間が予め設定された精度範囲内ではないと判定された場合には、求められた信号遅延時間を非選択し、より高次またはより低次の近似計算を行い、再度信号遅延時間を求めるステップをさらに具備する
    ことを特徴とする請求項7又は9記載の記録媒体。
JP2000199010A 2000-06-30 2000-06-30 半導体集積回路の信号遅延時間計算方法及び記憶媒体 Expired - Fee Related JP3636643B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000199010A JP3636643B2 (ja) 2000-06-30 2000-06-30 半導体集積回路の信号遅延時間計算方法及び記憶媒体
US09/895,339 US6519748B2 (en) 2000-06-30 2001-06-29 Signal delay time calculation method of semiconductor integrated circuit and computer program product for executing the method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000199010A JP3636643B2 (ja) 2000-06-30 2000-06-30 半導体集積回路の信号遅延時間計算方法及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2002016146A JP2002016146A (ja) 2002-01-18
JP3636643B2 true JP3636643B2 (ja) 2005-04-06

Family

ID=18697093

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000199010A Expired - Fee Related JP3636643B2 (ja) 2000-06-30 2000-06-30 半導体集積回路の信号遅延時間計算方法及び記憶媒体

Country Status (2)

Country Link
US (1) US6519748B2 (ja)
JP (1) JP3636643B2 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002163324A (ja) * 2000-11-28 2002-06-07 Hitachi Ltd 遅延時間計算方法及びそれを用いた半導体集積回路の設計方法
US6587999B1 (en) * 2001-05-15 2003-07-01 Lsi Logic Corporation Modeling delays for small nets in an integrated circuit design
US20030126570A1 (en) * 2001-12-28 2003-07-03 Tan Du Systems and methods for realizing integrated circuits
US20030144825A1 (en) * 2002-01-28 2003-07-31 Korobkov Alexander I Prediction method and apparatus for delay and skew analysis
US6981231B2 (en) * 2002-02-22 2005-12-27 Hewlett-Packard Development Company, L.P. System and method to reduce leakage power in an electronic device
US20030208346A1 (en) * 2002-05-03 2003-11-06 Andy Huang Block characterization of RC network using AWE(asymptotic waveform evaluation)
US6769100B2 (en) * 2002-09-12 2004-07-27 International Business Machines Corporation Method and system for power node current waveform modeling
US20040073418A1 (en) * 2002-10-10 2004-04-15 International Business Machines Corporation Method and system for modeling of effective capacitance in logic circuits
US7191113B2 (en) * 2002-12-17 2007-03-13 International Business Machines Corporation Method and system for short-circuit current modeling in CMOS integrated circuits
JP2005004268A (ja) * 2003-06-09 2005-01-06 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
CA2448578A1 (en) * 2003-11-07 2005-05-07 Paul Mack Semiconductor device
US7594197B2 (en) * 2003-11-12 2009-09-22 Paul Mack Semiconductor device having predictable electrical properties
JP4016005B2 (ja) * 2004-02-09 2007-12-05 松下電器産業株式会社 抵抗値計算方法
US7324914B2 (en) * 2004-10-29 2008-01-29 Texas Instruments Incorporated Timing closure for system on a chip using voltage drop based standard delay formats
US7600206B2 (en) * 2007-04-09 2009-10-06 Chang Gung University Method of estimating the signal delay in a VLSI circuit
US8020129B2 (en) * 2008-01-29 2011-09-13 International Business Machines Corporation Multiple voltage threshold timing analysis for a digital integrated circuit
JP5182218B2 (ja) * 2009-05-21 2013-04-17 富士通株式会社 移動通信システム及び無線基地局装置
CN110442926B (zh) * 2019-07-17 2020-11-24 东南大学 先进工艺和低电压下的集成电路统计时序分析方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5379231A (en) 1992-05-29 1995-01-03 University Of Texas System Method and apparatus for simulating a microelectric interconnect circuit
US5313398A (en) 1992-07-23 1994-05-17 Carnegie Mellon University Method and apparatus for simulating a microelectronic circuit
US6223328B1 (en) * 1996-12-03 2001-04-24 Fujitsu, Limited Wire processing method, wire processing equipment, and recording medium for wire processing program used in designing a large scale integrated circuit

Also Published As

Publication number Publication date
JP2002016146A (ja) 2002-01-18
US6519748B2 (en) 2003-02-11
US20020016950A1 (en) 2002-02-07

Similar Documents

Publication Publication Date Title
JP3636643B2 (ja) 半導体集積回路の信号遅延時間計算方法及び記憶媒体
EP1292906B1 (en) High accuracy timing model for integrated circuit verification
US7359843B1 (en) Robust calculation of crosstalk delay change in integrated circuit design
US7191414B1 (en) Apparatus and methods for interconnect simulation in electronic circuitry using non-uniform time step
US6209122B1 (en) Minimization of circuit delay and power through transistor sizing
Acary et al. Time-stepping numerical simulation of switched circuits within the nonsmooth dynamical systems approach
JP2001147948A (ja) セルの遅延時間計算方法及び半導体集積回路のレイアウト最適化方法
JP5935544B2 (ja) 論理セルのアナログモデルを生成する方法、製品及びコンピュータシステム
US7720660B2 (en) Mixed-domain analog/RF simulation
Wang Modelling multistability and hysteresis in ESD clamps, memristors and other devices
Kuhlmann et al. Efficient crosstalk estimation
US6327557B1 (en) Method and system for creating electronic circuitry
US7194716B2 (en) Apparatus and methods for cell models for timing and power analysis
US7373289B2 (en) Electrical isomorphism
US7594209B2 (en) Method for incorporating Miller capacitance effects in digital circuits for an accurate timing analysis
US7065720B2 (en) Apparatus and methods for current-based models for characterization of electronic circuitry
Garyfallou et al. A sparsity-aware MOR methodology for fast and accurate timing analysis of VLSI interconnects
US20140244223A1 (en) Method For Simulating Circuitry By Dynamically Modifying Device Models That Are Problematic For Out-of-Range Voltages
US6434729B1 (en) Two moment RC delay metric for performance optimization
US20030065494A1 (en) Apparatus and methods for characterizing and creating electronic circuitry
Gopal et al. AWE macromodels of VLSI interconnect for circuit simulation
US20020042704A1 (en) Apparatus and methods for characterizing electronic circuits having multiple power supplies
JP3296320B2 (ja) ゲート遅延計算装置、及びゲート遅延計算プログラムを記録した記録媒体
JP2014063231A (ja) 設計支援装置、設計支援方法及びプログラム
US11100268B1 (en) Fast and accurate simulation for power delivery networks with integrated voltage regulators

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050105

R151 Written notification of patent or utility model registration

Ref document number: 3636643

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080114

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090114

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100114

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110114

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120114

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130114

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130114

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140114

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees