JP2024512524A - 3次元のメモリデバイスおよびそれを形成するための方法 - Google Patents

3次元のメモリデバイスおよびそれを形成するための方法 Download PDF

Info

Publication number
JP2024512524A
JP2024512524A JP2023557779A JP2023557779A JP2024512524A JP 2024512524 A JP2024512524 A JP 2024512524A JP 2023557779 A JP2023557779 A JP 2023557779A JP 2023557779 A JP2023557779 A JP 2023557779A JP 2024512524 A JP2024512524 A JP 2024512524A
Authority
JP
Japan
Prior art keywords
layer
doped
channel
memory device
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023557779A
Other languages
English (en)
Inventor
クン・ジャン
ウェンシ・ジョウ
ジリアン・シア
ゾンリャン・フオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2024512524A publication Critical patent/JP2024512524A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

3次元(3D)メモリデバイスおよびそれを形成するための方法が開示されている。特定の態様では、3Dメモリデバイスは、交互配置された導電層および誘電体層を含むスタック構造体と、スタック構造体を通って延在するチャネル構造体と、ドープされた半導体層とを含む。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。半導体チャネルは、ドープされた部分およびドープされていない部分を含む。半導体チャネルのドープされた部分の一部は、第1の方向にスタック構造体を越えて延在している。ドープされた半導体層の一部は、スタック構造体を越えて延在する半導体チャネルのドープされた部分の一部の側壁部と接触している。

Description

本開示は、3次元(3D)メモリデバイスおよびその製作方法に関する。
平面的なメモリセルは、プロセス技術、回路設計、プログラミングアルゴリズム、および製作プロセスを改善することによって、より小さなサイズにスケーリングされる。しかし、メモリセルのフィーチャサイズが下限に接近するとき、平面的なプロセスおよび製作技法は、困難になり、コストがかかるようになる。結果として、平面的なメモリセルのためのメモリ密度は、上限に接近する。
3Dメモリアーキテクチャは、平面的なメモリセルにおける密度制限に対処することが可能である。3Dメモリアーキテクチャは、メモリアレイと、メモリアレイへのおよびメモリアレイからの信号を制御するための周辺デバイスとを含む。
1つの態様では、3Dメモリデバイスは、交互配置された導電層および誘電体層を含むスタック構造体と、スタック構造体を通って延在するチャネル構造体と、ドープされた半導体層とを含む。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。半導体チャネルは、ドープされた部分およびドープされていない部分を含む。半導体チャネルのドープされた部分の一部は、第1の方向にスタック構造体を越えて延在している。ドープされた半導体層の一部は、スタック構造体を越えて延在する半導体チャネルのドープされた部分の一部の側壁部と接触している。
別の態様において、3Dメモリデバイスは、交互配置された導電層および誘電体層を含むスタック構造体と、ドープされた半導体層と、スタック構造体を通ってドープされた半導体層まで延在するチャネル構造体とを含む。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。半導体チャネルは、ドープされた部分を含む。半導体チャネルのドープされた部分は、ドープされた半導体層とドープされた半導体層の最も近くにある導電層のうちの1つとの間にある。
さらに別の態様において、3Dメモリデバイスを形成するための方法が提供される。充填層が、基板の上方に形成される。スタック構造体が、充填層の上方に形成される。スタック構造体および充填層を通って延在するチャネル構造体が形成される。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。基板、および、充填層に面するメモリフィルムの一部は、順次に除去され、充填層に面する半導体チャネルの一部を露出させる。ドープされた半導体層が、半導体チャネルの露出された一部と接触して形成される。ドープされた半導体層、および、ドープされた半導体層と接触している半導体チャネルの一部が、局所的に活性化させられる。
さらなる別の態様において、システムは、データを記憶するように構成されている3Dメモリデバイスと、3Dメモリデバイスを制御するメモリコントローラとを含む。3Dメモリデバイスは、交互配置された導電層および誘電体層を含むスタック構造体と、スタック構造体を通って延在するチャネル構造体と、ドープされた半導体層とを含む。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。半導体チャネルは、ドープされた部分およびドープされていない部分を含む。半導体チャネルのドープされた部分の一部は、第1の方向にスタック構造体を越えて延在している。ドープされた半導体層の一部は、スタック構造体を越えて延在する半導体チャネルのドープされた部分の一部の側壁部と接触している。
添付の図面は、本明細書に組み込まれており、明細書の一部を形成しており、添付の図面は、本開示の態様を図示しており、さらに、説明とともに本開示の原理を説明する役割を果たし、また、当業者が本開示を作製および使用することを可能にする役割を果たす。
本開示のいくつかの態様による、例示的な3Dメモリデバイスの断面の側面図である。 本開示のいくつかの態様による、図1の3Dメモリデバイスの中の例示的なチャネル構造体の断面の拡大側面図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示する図である。 本開示のいくつかの態様による、例示的な3Dメモリデバイスを形成するための方法のフローチャートである。 本開示のいくつかの態様による、3Dメモリデバイスを有する例示的なシステムのブロック図である。 本開示のいくつかの態様による、3Dメモリデバイスを有する例示的なメモリカードのダイアグラムである。 本開示のいくつかの態様による、3Dメモリデバイスを有する例示的なソリッドステートドライブ(SSD)のダイアグラムである。
本開示が、添付の図面を参照して説明されることとなる。
特定の構成および配置が議論されているが、これは、単に例示目的のためだけに行われているということが理解されるべきである。そうであるので、本開示の範囲から逸脱することなく、他の構成および配置も使用されることが可能である。また、本開示は、さまざまな他の用途において用いられることも可能である。本開示に説明されているような機能的な特徴および構造的な特徴は、互いにおよび図面に具体的に示されていない方式で組み合わせられ、調節され、および修正されることが可能であり、これらの組み合わせ、調節、および修正が、本開示の範囲内にあるようになっている。
一般的に、専門用語は、文脈における使用法から少なくとも部分的に理解されることが可能である。たとえば、本明細書で使用されているような「1つまたは複数の」という用語は、少なくとも部分的に文脈に応じて、単数形の意味で、任意の特徴、構造体、または特質を説明するために使用されことが可能であるか、または、複数形の意味で、特徴、構造体、または特質の組み合わせを説明するために使用されることが可能である。同様に、「a」、「an」、または「the」などのような用語は、繰り返しになるが、少なくとも部分的に文脈に応じて、単数形の使用法を伝えるということ、または、複数形の使用法を伝えるということを理解されることが可能である。加えて、「基づく」という用語は、必ずしも、排他的な要因のセットを伝えることを意図しているとは限らないということが理解されることが可能であり、その代わりに、繰り返しになるが、少なくとも部分的に文脈に応じて、必ずしも明示的に記載されていない追加的な要因の存在を可能にする可能性がある。
本開示における「の上に」、「の上方に(above)」、および「の上方に(over)」の意味は、最も広い様式で解釈されるべきであり、「の上に」は、何か「の上に直接的に」を意味するだけではなく、中間特徴または層がそれらの間にある状態で、何か「の上に」を意味することも含むようになっており、「の上方に(above)」または「の上方に(over)」は、何か「の上方に(above)」または「の上方に(over)」を意味するだけでなく、中間特徴または層がそれらの間にない状態で、それが何か「の上方に(above)」または「の上方に(over)」(すなわち、何かの上に直接的に)あることを意味することも含むことが可能であるということが容易に理解されるべきである。
さらに、「の下に」、「の下方に」、「下側」、「の上方に」、および「上側」などのような、空間的に相対的な用語は、説明を容易にするために、図に図示されているような別の要素または特徴に対する1つの要素または特徴の関係を説明するために本明細書で使用されることが可能である。空間的に相対的な用語は、図に示されている配向に加えて、使用中または動作中のデバイスの異なる配向を包含することを意図している。装置は、その他の方法で配向されることが可能であり(90度回転させられるか、または、他の配向で)、本明細書で使用されている空間的に相対的な記述子は、同様にそのように解釈されることが可能である。
本明細書で使用されているように、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板自体が、パターニングされることが可能である。基板の上に追加された材料は、パターニングされることが可能であり、または、パターニングされないままであることが可能である。そのうえ、基板は、シリコン、ゲルマニウム、ガリウムヒ素、リン化インジウムなどのような、多様な半導体材料を含むことが可能である。代替的に、基板は、ガラス、プラスチック、またはサファイヤウエハなどのような、非導電性材料から作製されることが可能である。
本明細書で使用されているように、「層」という用語は、所定の厚さを有する領域を含む材料部分を指す。層は、下にあるもしくは上にある構造体の全体にわたって延在することが可能であり、または、下にあるもしくは上にある構造体の延在よりも小さい延在を有することが可能である。さらに、層は、連続的な構造体の厚さよりも小さい厚さを有する均質なまたは不均質な連続的な構造体の領域であることが可能である。たとえば、層は、連続的な構造体の上部表面と底部表面との間において(または、上部表面および底部表面において)、水平方向の平面の任意の対の間に位置付けされることが可能である。層は、水平方向に、垂直方向に、および/または、テーパー付きの表面に沿って延在することが可能である。基板は、層であることが可能であり、その中に1つまたは複数の層を含むことが可能であり、ならびに/または、その上に、その上方に、および/もしくはその下方に、1つまたは複数の層を有することが可能である。層は、複数の層を含むことが可能である。たとえば、相互接続層は、1つまたは複数の導体および接触層(相互接続ラインおよび/または垂直方向の相互接続アクセス(ビア)接触部が、その中に形成されている)ならびに1つまたは複数の誘電体層を含むことが可能である。
いくつかの3D NANDメモリデバイスでは、半導体プラグは、チャネル構造体の側壁部を取り囲むように選択的に成長させられる(たとえば、側壁部選択エピタキシャル成長(SEG)として知られている)。チャネル構造体のソース端部において形成される(たとえば、底部SEG)別のタイプの半導体プラグと比較して、側壁部SEGの形成は、チャネルホールの底部表面におけるメモリフィルムおよび半導体チャネルのエッチング(SONOパンチとして知られる)を回避し、それによって、とりわけ、先進技術(たとえば、マルチデッキアーキテクチャによって90以上のレベルを有するなど)によって3D NANDメモリデバイスを製作するときに、プロセスウィンドウを増加させる。
しかし、固有の(純粋な、ドープされていない)半導体材料(たとえば、固有ポリシリコンなど)が半導体チャネルを形成するために使用されるので、比較的高いポテンシャル障壁が、半導体チャネルと側壁部SEGまたは半導体チャネルと接触している導電層との間に存在しており、それによって、それらの間に高い接触抵抗を導入する。3Dメモリデバイスの電気的性能は、高い接触抵抗によって影響を与えられる可能性がある。
上述の問題に対処するために、本開示は、半導体チャネルと側壁部SEGまたは導電層との間の接触抵抗が低減され得る解決策を導入する。いくつかの実装形態において、半導体チャネルは、部分的にドープされており、ソース接触部を形成する半導体チャネルの一部が、ドープされていないままであるかまたは低度にドープされたメモリセルを形成する半導体チャネルの別の一部を残した状態で、ポテンシャル障壁を低下させるように高度にドープされるようになっている。いくつかの実装形態において、それぞれのチャネル構造体の一方の端部は、バックサイドから開かれ、それぞれの半導体チャネルのドープされた一部を露出させており、3Dメモリデバイスは、接触抵抗およびシート抵抗をさらに低減させるために、半導体チャネルの露出されたドープされた一部を電気的に接続するドープされた半導体層をさらに含む。結果として、3Dメモリデバイスの電気的性能が改善されることが可能である。
本開示の範囲と一貫して、半導体チャネルのドープされた一部、および、ドープされた半導体層は、(たとえば、局所的なアニーリングを通して)局所的に活性化させられ、熱に敏感なデバイスチップの上の他のパーツ(たとえば、ボンディングインターフェースおよび銅相互接続部など)を損傷させることなく、その中のドーパントを活性化させることが可能である。たとえば、ドーパントを活性化させるための熱は、デバイスチップの上の熱に敏感なコンポーネントを除外するエリアに閉じ込められることが可能である。いくつかの実装形態において、局所的な活性化プロセスは、また、ドープされた半導体層と接触している固有半導体チャネルの一部をドープするためのインサイチュドーピングプロセスとしての役割を果たす。
図1は、本開示のいくつかの態様による、例示的な3Dメモリデバイス100の断面の側面図を図示している。いくつかの実装形態において、3Dメモリデバイス100は、第1の半導体構造体102と、第1の半導体構造体102の上にスタックされた第2の半導体構造体104とを含む結合されたチップである。いくつかの実装形態によれば、第1および第2の半導体構造体102および104は、それらの間のボンディングインターフェース106において接合されている。図1に示されているように、第1の半導体構造体102は、基板101を含むことが可能であり、基板101は、シリコン(たとえば、単結晶シリコン、c-Si)、シリコンゲルマニウム(SiGe)、ガリウムヒ素(GaAs)、ゲルマニウム(Ge)、シリコンオンインシュレーター(SOI)、または任意の他の適切な材料を含むことが可能である。
3Dメモリデバイス100の第1の半導体構造体102は、基板101の上に周辺回路108を含むことが可能である。基板101を有する3Dメモリデバイス100の中のコンポーネントの空間的関係をさらに図示するために、x軸およびy軸が図1に含まれているということが留意される。基板101は、x方向(すなわち、横方向)に横方向に延在する2つの横方向表面(たとえば、上部表面および底部表面)を含む。本明細書で使用されているように、1つのコンポーネント(たとえば、層またはデバイス)が半導体デバイスの別のコンポーネント(たとえば、層またはデバイス)の「上に」、「上方に」、または「下方に」あるかどうかは、基板がy方向に半導体デバイス(たとえば、3Dメモリデバイス100)の最も低い平面の中に位置決めされているときには、半導体デバイス(たとえば、基板101)の基板に対してy方向(すなわち、垂直方向)に決定される。空間的関係を説明するための同じ概念が、本開示の全体を通して適用される。
いくつかの実装形態において、周辺回路108は、3Dメモリデバイス100を制御およびセンシングするように構成されている。周辺回路108は、それに限定されないが、ページバッファー、デコーダー(たとえば、行デコーダーおよび列デコーダー)、センスアンプ、ドライバ(たとえば、ワードラインドライバ)、チャージポンプ、電流または電圧リファレンス、または、回路の任意のアクティブまたはパッシブコンポーネント(たとえば、トランジスタ、ダイオード、抵抗器、またはキャパシター)を含む3Dメモリデバイス100の動作を促進させるために使用される任意の適切なデジタル、アナログ、および/または混合信号制御およびセンシング回路であることが可能である。周辺回路108は、基板101の上に形成されたトランジスタを含むことが可能であり、トランジスタの全体または一部は、基板101の中に(たとえば、基板101の上部表面の下方に)形成され、および/または、基板101の上に直接的に形成されている。アイソレーション領域(たとえば、シャロートレンチアイソレーション(STI: shallow trench isolation))およびドープ領域(たとえば、トランジスタのソース領域およびドレイン領域)が、同様に基板101の中に形成されることが可能である。いくつかの実装形態によれば、トランジスタは、先進的論理プロセス(たとえば、90nm、65nm、45nm、32nm、28nm、20nm、16nm、14nm、10nm、7nm、5nm、3nm、2nmなどの技術ノード)によって高速である。いくつかの実装形態において、周辺回路108は、論理回路(たとえば、プロセッサおよびプログラマブルロジックデバイス(PLD)など)またはメモリ回路(たとえば、スタティックランダムアクセスメモリ(SRAM)およびダイナミックRAM(DRAM)など)を含む、先進的論理プロセスに適合する任意の他の回路をさらに含むことが可能であるということが理解される。
いくつかの実装形態において、3Dメモリデバイス100の第1の半導体構造体102は、周辺回路108へおよび周辺回路108から電気信号を転送するために、周辺回路108の上方に相互接続層(図示せず)をさらに含む。相互接続層は、横方向の相互接続ラインおよび垂直方向の相互接続アクセス(VIA: vertical interconnect access)接触部を含む、複数の相互接続部(本明細書では接触部とも称されている)を含むことが可能である。本明細書で使用されているように、相互接続部という用語は、ミドルエンドオブライン(MEOL: middle-end-of-line)相互接続部およびバックエンドオブライン(BEOL: back-end-of-line)相互接続部などのような、任意の適切なタイプの相互接続部を広く含むことが可能である。相互接続層は、1つまたは複数の層間誘電体(ILD)層(金属間誘電体(IMD)層としても知られる)をさらに含むことが可能であり、相互接続ラインおよびVIA接触部が、1つまたは複数の層間誘電体(ILD)層の中に形成することが可能である。すなわち、相互接続層は、複数のILD層の中に相互接続ラインおよびVIA接触部を含むことが可能である。相互接続層の中の相互接続ラインおよびVIA接触部は、それに限定されないが、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、シリサイド、または、それらの任意の組み合わせを含む、導電性材料を含むことが可能である。相互接続層の中のILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低誘電率(低k)誘電体、または、それらの任意の組み合わせを含む、誘電材料を含むことが可能である。
図1に示されているように、3Dメモリデバイス100の第1の半導体構造体102は、ボンディングインターフェース106において、ならびに、相互接続層および周辺回路108の上方において、ボンディング層110をさらに含むことが可能である。ボンディング層110は、複数のボンディング接触部111と、ボンディング接触部111を電気的に隔離する誘電体とを含むことが可能である。ボンディング接触部111は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組み合わせを含む、導電性材料を含むことが可能である。ボンディング層110の残りのエリアは、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組み合わせを含む、誘電体によって形成されることが可能である。ボンディング接触部111およびボンディング層110の中の周囲の誘電体は、ハイブリッドボンディングのために使用されることが可能である。
同様に、図1に示されているように、3Dメモリデバイス100の第2の半導体構造体104は、また、ボンディングインターフェース106において、および、第1の半導体構造体102のボンディング層110の上方において、ボンディング層112を含むことが可能である。ボンディング層112は、複数のボンディング接触部113と、ボンディング接触部113を電気的に隔離する誘電体とを含むことが可能である。ボンディング接触部113は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組み合わせを含む、導電性材料を含むことが可能である。ボンディング層112の残りのエリアは、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組み合わせを含む、誘電体によって形成されることが可能である。ボンディング接触部113およびボンディング層112の中の周囲の誘電体は、ハイブリッドボンディングのために使用されることが可能である。いくつかの実装形態によれば、ボンディング接触部113は、ボンディングインターフェース106において、ボンディング接触部111と接触している。
下記に詳細に説明されているように、第2の半導体構造体104は、ボンディングインターフェース106において向かい合った様式で第1の半導体構造体102の上に結合されることが可能である。いくつかの実装形態において、ボンディングインターフェース106は、ハイブリッドボンディング(「金属/誘電体ハイブリッドボンディング」としても知られる)の結果としてボンディング層110とボンディング層112との間に配設されており、ハイブリッドボンディングは、直接的なボンディング技術(たとえば、中間層(たとえば、はんだまたは接着剤など)を使用することなく表面同士の間にボンディングを形成する)であり、金属-金属ボンディングおよび誘電体-誘電体ボンディングを同時に取得することが可能である。いくつかの実装形態において、ボンディングインターフェース106は、ボンディング層112および110が出会って結合される場所である。実際には、ボンディングインターフェース106は、第1の半導体構造体102のボンディング層110の上部表面および第2の半導体構造体104のボンディング層112の底部表面を含む特定の厚さを有する層であることが可能である。
いくつかの実装形態において、3Dメモリデバイス100の第2の半導体構造体104は、電気信号を転送するために、ボンディング層112の上方に相互接続層(図示せず)をさらに含む。相互接続層は、複数の相互接続部(たとえば、MEOL相互接続部およびBEOL相互接続部など)を含むことが可能である。相互接続層は、1つまたは複数のILD層をさらに含むことが可能であり、相互接続ラインおよびVIA接触部が、1つまたは複数のILD層の中に形成することが可能である。相互接続層の中の相互接続ラインおよびVIA接触部は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組み合わせを含む、導電性材料を含むことが可能である。相互接続層の中のILD層は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組み合わせを含む、誘電材料を含むことが可能である。
いくつかの実装形態において、3Dメモリデバイス100は、NANDフラッシュメモリデバイスであり、メモリセルが、NANDフラッシュメモリデバイスの中に、NANDメモリストリングのアレイの形態で提供されている。それぞれのNANDメモリストリングは、それぞれのチャネル構造体124を含むことが可能である。図1に示されているように、それぞれのチャネル構造体124は、スタック導電層116およびスタック誘電体層118をそれぞれ含む複数の対を通って垂直方向に延在することが可能である。交互配置されたスタック導電層116およびスタック誘電体層118は、メモリスタック114の一部である。メモリスタック114の中のスタック導電層116およびスタック誘電体層118の対の数は、3Dメモリデバイス100の中のメモリセルの数を決定する。いくつかの実装形態において、メモリスタック114は、マルチデッキアーキテクチャ(図示せず)を有することが可能であり、マルチデッキアーキテクチャは、互いにスタックされた複数のメモリデッキを含むということが理解される。それぞれのメモリデッキの中のスタック導電層116およびスタック誘電体層118の対の数は、同じであるかまたは異なっていることが可能である。
メモリスタック114は、複数の交互配置されたスタック導電層116およびスタック誘電体層118を含むことが可能である。メモリスタック114の中のスタック導電層116およびスタック誘電体層118は、垂直方向に交互になっていることが可能である。換言すれば、メモリスタック114の上部または底部にあるものを除いて、それぞれのスタック導電層116は、両側において2つのスタック誘電体層118によって隣接されることが可能であり、それぞれのスタック誘電体層118は、両側において2つのスタック導電層116によって隣接されることが可能である。スタック導電層116は、それに限定されないが、W、Co、Cu、Al、ポリシリコン、がドープされたシリコン、シリサイド、または、それらの任意の組み合わせを含む、導電性材料を含むことが可能である。それぞれのスタック導電層116は、接着剤層およびゲート誘電体層によって取り囲まれているゲート電極(ゲートライン)を含むことが可能である。スタック導電層116のゲート電極は、ワードラインとして横方向に延在することが可能であり、メモリスタック114の1つまたは複数の階段構造体において終わる。スタック誘電体層118は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、または、それらの任意の組み合わせを含む、誘電材料を含むことが可能である。
図1に示されているように、3Dメモリデバイス100の第2の半導体構造体104は、メモリスタック114の上方に充填層120を含むことも可能である。充填層120は、ポリシリコン、高誘電率(高k)誘電体、または金属を含むことが可能である。たとえば、高k誘電体は、酸化ケイ素の誘電率よりも高い誘電率(たとえば、>3.7)を有する任意の誘電材料を含むことが可能である。充填層120が、チャネル構造体124を取り囲む側壁部SEG、および/または、チャネル構造体124を電気的に接続する導電層(たとえば、ドープされたポリシリコン層など)として作用する、いくつかの公知の解決策とは異なり、3Dメモリデバイス100の第2の半導体構造体104の充填層120は、側壁部SEGおよび/または導電層としては働かない可能性があり、したがって、ドープされたポリシリコン以外の材料、たとえば、誘電体(たとえば、高k誘電体)、金属(たとえば、W、Co、Cu、またはAl)、金属シリサイド、またはドープされていないポリシリコンなどを含むことが可能である。いくつかの例において、充填層120は、同様に、ドープされたポリシリコンを含むことが可能であるということが理解される。
いくつかの実装形態において、それぞれのチャネル構造体124は、(たとえば、半導体チャネル128として)半導体層および(たとえば、メモリフィルム126として)複合誘電体層によって充填されたチャネルホールを含む。いくつかの実装形態において、半導体チャネル128は、シリコン(たとえば、アモルファスシリコン、ポリシリコン、または単結晶シリコンなど)を含む。いくつかの実装形態において、メモリフィルム126は、トンネリング層、ストレージ層(「チャージトラップ層」としても知られる)、およびブロッキング層を含む、複合層である。チャネルホールの残りのスペースは、誘電材料(たとえば、酸化ケイ素など)および/または空気ギャップを含むキャッピング層によって部分的にまたは完全に充填されることが可能である。チャネル構造体124は、円筒形状(たとえば、ピラー形状)を有することが可能である。いくつかの実装形態によれば、キャッピング層、半導体チャネル128、メモリフィルム126のトンネリング層、ストレージ層、およびブロッキング層は、中心からピラーの外側表面に向けて半径方向にこの順序で配置されている。トンネリング層は、酸化ケイ素、酸窒化ケイ素、または、それらの任意の組み合わせを含むことが可能である。ストレージ層は、窒化ケイ素、酸窒化ケイ素、シリコン、または、それらの任意の組み合わせを含むことが可能である。ブロッキング層は、酸化ケイ素、酸窒化ケイ素、高k誘電体、または、それらの任意の組み合わせを含むことが可能である。1つの例において、メモリフィルム126は、酸化ケイ素/酸窒化ケイ素/酸化ケイ素(ONO)の複合層を含むことが可能である。
いくつかの実装形態において、チャネル構造体124は、チャネル構造体124の底部部分において(たとえば、下側端部において)チャネルプラグ129をさらに含む。本明細書で使用されているように、基板101が3Dメモリデバイス100の最も低い平面に位置決めされているときに、コンポーネント(たとえば、チャネル構造体124)の上側端部は、y方向において基板101からより遠くに離れた端部であり、コンポーネント(たとえば、チャネル構造体124)の下側端部は、y方向において基板101により近い端部である。チャネルプラグ129は、半導体材料(たとえば、ポリシリコン)を含むことが可能である。いくつかの実装形態において、チャネルプラグ129は、チャネル構造体124のドレインとして機能する。
図1に示されているように、それぞれのチャネル構造体124は、メモリスタック114の交互配置されたスタック導電層116およびスタック誘電体層118を通って、充填層120の中へ垂直方向に延在することが可能である。いくつかの実装形態によれば、下記に詳細に説明されているような製作プロセスの間にメモリフィルム126の一部が除去され得るので、メモリフィルム126の上側端部は、垂直方向において半導体チャネル128の上側端部と位置合わせされていない。いくつかの実装形態において、メモリフィルム126の上側端部は、図1に示されているように、チャネル構造体124の中の半導体チャネル128の上側端部の下方にある。いくつかの実装形態において、メモリフィルム126の上側端部は、充填層120とメモリスタック114との間の(すなわち、充填層120の底部表面とメモリスタック114の上部表面との間の)インターフェースと同一平面上にある。示されていないが、いくつかの例において、メモリフィルム126の上側端部は、充填層120の上部表面と底部表面との間にあることが可能であるということが理解される。すなわち、メモリフィルム126の上側端部は、メモリスタック114の上部表面と同一平面上にあることが可能であり、または、それを越えている。いくつかの実装形態において、メモリフィルム126の上側端部は、メモリスタック114の上部表面の下方にない。
図1に示されているように、半導体チャネル128の上側端部は、いくつかの実装形態によれば、メモリフィルム126の上側端部の上方にある。換言すれば、半導体チャネル128は、メモリフィルム126よりも充填層120の中へさらに延在することが可能である。たとえば、図1に示されているように、メモリフィルム126は、メモリスタック114の上部表面において終了することが可能であり、一方では、半導体チャネル128は、メモリスタック114の上部表面の上方に延在し、充填層120に面することが可能である。また、図2のチャネル構造体124の拡大側面図を参照すると、半導体チャネル128は、ドープされた部分128aおよびドープされていない部分128bを含むことが可能である。いくつかの実装形態において、半導体チャネル128のドープされた部分128aの少なくとも一部は、第1の方向に(たとえば、図2のプラスのy方向に)メモリスタック114を越えて延在している。すなわち、ドープされた部分128aの上側端部は、充填層120とメモリスタック114との間の(すなわち、充填層120の底部表面とメモリスタック114の上部表面との間の)インターフェースの上方にあることが可能である。いくつかの実装形態において、半導体チャネル128のドープされた部分128aは、また、第1の方向とは反対の第2の方向(たとえば、図2のマイナスのy方向)にスタック導電層116のうちの1つを越えて延在している。充填層120の近くにあるスタック導電層116のうちの1つまたは複数は、ソース選択ゲートライン201(SSGライン;底部選択ゲート(BSG)ラインと称されることもある)であることが可能であり、スタック導電層116の残りの部分は、ワードライン203を含むことが可能であるということが理解される。また、いくつかの実装形態によれば、半導体チャネル128のドープされた部分128aは、充填層120の最も近くにあるソース選択ゲートライン201を越えて延在している。3Dメモリデバイス100の第2の半導体構造体104が2つ以上のソース選択ゲートライン201を含む場合には、ドープされた部分128aは、すべてのソース選択ゲートライン201を越えて延在することが可能であるということが理解される。他方では、ドープされた部分128aは、ワードライン203に面するようにさらに延在しない可能性がある。すなわち、ドープされた部分128aの下側端部は、いくつかの実装形態によれば、垂直方向においてソース選択ゲートライン201とワードライン203との間にある。たとえば、図2に示されているように、メモリスタック114を越えて延在する半導体チャネル128のドープされた部分128aの一部は、充填層120に面することが可能であり、一方では、ドープされた部分128aの残りの部分は、ソース選択ゲートライン201に面することが可能である。
いくつかの実装形態において、半導体チャネル128のドープされた部分128aは、N型のドープされたポリシリコンを含む。ドーパントは、任意の適切なN型ドーパント(たとえば、リン(P)、ヒ素(Ar)、またはアンチモン(Sb)など)であることが可能であり、それは、自由電子に寄与し、固有半導体の導電率を増加させる。いくつかの実装形態において、ドープされた部分128aのドーピング濃度は、約1019cm-3から約1021cm-3の間にあり、たとえば、1019cm-3から1021cm-3の間(たとえば、1019cm-3、2×1019cm-3、3×1019cm-3、4×1019cm-3、5×1019cm-3、6×1019cm-3、7×1019cm-3、8×1019cm-3、9×1019cm-3、1020cm-3、2×1020cm-3、3×1020cm-3、4×1020cm-3、5×1020cm-3、6×1020cm-3、7×1020cm-3、8×1020cm-3、9×1020cm-3、1021cm-3、これらの値のいずれかによる下側端部によって境界を定められた任意の範囲、または、これらの値のうちの任意の2つによって規定された任意の範囲)などにある。本明細書で開示されているドープされた部分128aのドーピング濃度は、固有半導体と比較して、半導体チャネル128とドープされた半導体層122との間の接触抵抗を大幅に低減させることが可能である。いくつかの例において、ドーパントの拡散は、半導体チャネル128のドープされた部分128aの中に閉じ込められることが可能であり、半導体チャネル128の残りの部分(すなわち、ワードライン203に面する一部)が、固有半導体(たとえば、固有ポリシリコンなど)を依然として含むドープされていない部分128bである(すなわち、ドーピング濃度が、公称的にゼロである)ようになっているということが理解される。上記に説明されているドーピング濃度プロファイルは、半導体チャネル128のドープされた部分128aにおけるポテンシャル障壁、接触抵抗、およびシート抵抗を低減させることが可能であり、それは、NANDメモリストリングのメモリセルを形成する半導体チャネル128のドープされていない部分128bの固有の性質を変更することなく、対応するNANDメモリストリングのソースのための電気的な接続を作製する。
いくつかの実装形態において、3Dメモリデバイス100の第2の半導体構造体104は、複数のチャネル構造体124を電気的に接続することができるようになっているドープされた半導体層122を含む。たとえば、ドープされた半導体層122は、(充填層120が導電性であるかどうかに応じて)充填層120の有無にかかわらず、同じブロックの中のNANDメモリストリングのアレイのソース(すなわち、アレイ共通ソース(ACS))の間の電気的な接続を提供することが可能である。換言すれば、充填層120は、導電性材料(たとえば、金属またはドープされたポリシリコンなど)を含む必要がない可能性がある。その理由は、ドープされた半導体層122が単独で複数のNANDメモリストリングのソースを電気的に接続することが可能であるからである。結果として、充填層120に対する材料および寸法の制約が緩和される可能性がある。
図1および図2に示されているように、いくつかの実装形態において、ドープされた半導体層122は、2つの部分(メモリスタック114を越えて延在する半導体チャネル128のドープされた部分128aの少なくとも一部の側壁部と接触している第1の部分121、および、充填層120の上方にあり充填層120と接触している第2の部分123)を含む。すなわち、いくつかの実装形態によれば、ドープされた半導体層122の一部(すなわち、第2の部分123)は、充填層120の上にあり、それぞれのチャネル構造体124の上側端部を取り囲むドープされた半導体層122の残りの部分(すなわち、第1の部分121)は、半導体チャネル128のドープされた部分128aと接触している。充填層120は、垂直方向においてメモリスタック114とドープされた半導体層122の第2の部分123との間に形成されることが可能である。いくつかの実装形態において、ドープされた半導体層122の第1の部分121は、同様に、半導体チャネル128のドープされた部分128aの上部表面の上方にあり、それと接触していることが可能であるということが理解される。すなわち、ドープされた半導体層122は、メモリスタック114を越えて延在する半導体チャネル128のドープされた部分128aの上部表面および側壁部の両方と接触しており、接触面積を増加させることが可能である。
図1に示されているように、チャネル構造体124は、メモリスタック114および充填層120を通ってドープされた半導体層122まで延在することが可能である。いくつかの実装形態において、ドープされた半導体層122とソース選択ゲートライン201(たとえば、ドープされた半導体層122の最も近くにあるスタック導電層116のうちの1つ)との間にある半導体チャネル128の少なくとも一部がドープされる。結果として、ドープされた半導体層122の一部は(たとえば、第1の部分121)は、半導体チャネル128のドープされた一部(たとえば、ドープされた部分128a)と接触していることが可能であり、充填層120は、垂直方向においてメモリスタック114とドープされた半導体層122の別の一部(たとえば、第2の部分123)との間に形成されることが可能である。下記に詳細に説明されているように、メモリスタック114の形成、ならびに、半導体チャネル128のドープされた部分128aおよびドープされた半導体層122の形成は、充填層120の反対側において起こり、それによって、メモリスタック114を通って延在する開口部を通した任意の堆積またはエッチングプロセスを回避し、それによって、製作の複雑さおよびコストを低減させ、収率および垂直方向のスケーラビリティを向上させる。
半導体チャネル128のドープされた部分128aと同様に、いくつかの実装形態において、ドープされた半導体層122も、N型のドープされたポリシリコンを含む。ドーパントは、任意の適切なN型ドーパント(たとえば、P、Ar、またはSbなど)であることが可能であり、それは、自由電子に寄与し、固有半導体の導電率を増加させる。半導体チャネル128のドープされた部分128aと同様に、いくつかの実装形態において、ドープされた半導体層122のドーピング濃度は、約1019cm-3から約1021cm-3の間にあり、たとえば、1019cm-3から1021cm-3の間(たとえば、1019cm-3、2×1019cm-3、3×1019cm-3、4×1019cm-3、5×1019cm-3、6×1019cm-3、7×1019cm-3、8×1019cm-3、9×1019cm-3、1020cm-3、2×1020cm-3、3×1020cm-3、4×1020cm-3、5×1020cm-3、6×1020cm-3、7×1020cm-3、8×1020cm-3、9×1020cm-3、1021cm-3、これらの値のいずれかによる下側端部によって境界を定められた任意の範囲、または、これらの値のうちの任意の2つによって規定された任意の範囲)などにある。本明細書で開示されているドープされた半導体層122のドーピング濃度は、固有半導体と比較して、半導体チャネル128とドープされた半導体チャネル122との間の接触抵抗、および、ドープされた半導体層122のシート抵抗を大幅に低減させることが可能である。下記に詳細に説明されているように、いくつかの実装形態において、半導体チャネル128のドープされた部分128aおよびドープされた半導体層122は、同じドーパントを有する同じ材料(たとえば、N型のドープされたポリシリコン)を有しており、また、その上で実施される同じ局所的な活性化プロセスに起因して連続的なドーピングプロファイルを有している。したがって、半導体チャネル128のドープされた部分128aとドープされた半導体層122の第1の部分121との間のインターフェースおよび境界は、区別不可能になる可能性があり、したがって、3Dメモリデバイス100の中で判別されることができないということが理解される。
半導体チャネル128およびドープされた半導体層122をドープおよび接触させることによって、NANDメモリストリングの間の(すなわち、同じブロックの中のNANDメモリストリングのACSにおける)接触抵抗が低減されることが可能であり、それによって、3Dメモリデバイス100の電気的性能を改善する。N型のドープされた半導体層122(それは、半導体チャネル128のドープされた部分128aを取り囲んでいる)は、3Dメモリデバイス100のための消去動作のためのゲート誘導ドレインリーケージ(GIDL: gate-induced drain leakage)支援型のボディバイアシングを可能にすることができる。ソース選択ゲートライン201の周りのGIDLは、対応するNANDメモリストリングのソースから半導体チャネル128の中へ正孔電流(すなわち、ソースリーケージ電流)を発生させ、消去動作のためのボディポテンシャルを上昇させることが可能である。すなわち、3Dメモリデバイス100は、いくつかの実装形態によれば、消去動作を実施するときに、GIDL支援型のボディバイアシングを発生させるように構成されている。いくつかの実装形態において、ソース選択ゲートライン201に面する半導体チャネル128の一部をドープすることによっても、GIDL効果がさらに強化されることが可能である。
図1に示されているように、3Dメモリデバイス100の第2の半導体構造体104は、メモリスタック114の交互配置されたスタック導電層116およびスタック誘電体層118を通って垂直方向にそれぞれ延在する絶縁構造体130をさらに含むことが可能である。充填層120の中へさらに延在するチャネル構造体124とは異なり、絶縁構造体130は、いくつかの実装形態によれば、充填層120の底部表面において停止し、すなわち、充填層120の中へ垂直方向に延在しない。すなわち、絶縁構造体130の上部表面は、充填層120の底部表面と同一平面上にあることが可能である。また、それぞれの絶縁構造体130は、別個のチャネル構造体124を複数のブロックに分離するために、横方向に延在することが可能である。すなわち、メモリスタック114は、絶縁構造体130によって複数のメモリブロックに分割されることが可能であり、チャネル構造体124のアレイがそれぞれのメモリブロックへと分離されることが可能であるようになっている。既存の3D NANDメモリデバイス(それは、フロントサイドACS接触部を含む)の中のスリット構造体とは異なり、絶縁構造体130は、いくつかの実装形態によれば、任意の接触部をその中に含まず(すなわち、ソース接触部として機能しない)、したがって、スタック導電層116によって寄生容量およびリーケージ電流を導入しない。いくつかの実装形態において、それぞれの絶縁構造体130は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、または、それらの任意の組み合わせを含む、1つまたは複数の誘電材料によって充填された開口部(たとえば、スリット)を含む。1つの例において、それぞれの絶縁構造体130は、酸化ケイ素によって充填されることが可能である。いくつかの例において、絶縁構造体130は、非誘電材料(たとえば、ポリシリコンなど)によって部分的に充填され、絶縁構造体130の機械的特性(たとえば、硬度および/または応力)を調節することが可能であるということが理解される。
そのうえ、下記に詳細に説明されているように、絶縁構造体130を形成するための開口部は、ドープされた半導体層122および半導体チャネル128のドープされた部分128aを形成するために使用されないので、交互配置されたスタック導電層116およびスタック誘電体層118の数が増加するにつれて開口部のアスペクト比が増加することは(たとえば、50よりも大きい)、ドープされた半導体層122および半導体チャネル128のドープされた部分128aの形成に影響を与えることとならない。
フロントサイドソース接触部の代わりに、3Dメモリデバイス100は、図1に示されているように、ドープされた半導体層122の上方において、ドープされた半導体層122に接触して、1つまたは複数のバックサイドソース接触部132を含むことが可能である。ソース接触部132およびメモリスタック114(および、それを通る絶縁構造体130)は、充填層120の反対側に配設されることが可能であり、したがって、「バックサイド」ソース接触部として見られることが可能である。いくつかの実装形態において、ソース接触部132は、ドープされた半導体層122を通してチャネル構造体124の半導体チャネル128に電気的に接続されている。ソース接触部132は、任意の適切なタイプの接触部を含むことが可能である。いくつかの実装形態において、ソース接触部132は、VIA接触部を含む。いくつかの実装形態において、ソース接触部132は、横方向に延在する壁形状の接触部を含む。ソース接触部132は、接着剤層(たとえば、窒化チタン(TiN))によって取り囲まれている金属層(たとえば、W、Co、Cu、もしくはAl)またはシリサイド層などのような、1つまたは複数の導電層を含むことが可能である。
図1に示されているように、3Dメモリデバイス100は、パッドアウトのために(たとえば、3Dメモリデバイス100と外部回路との間で電気信号を転送するために)、ソース接触部132の上方においてソース接触部132に電気的に接続されているBEOL相互接続層133をさらに含むことが可能である。いくつかの実装形態において、相互接続層133は、ドープされた半導体層122の上の1つまたは複数のILD層134と、ILD層134の上の再分配層136とを含む。ソース接触部132の上側端部は、ILD層134の上部表面、および、再分配層136の底部表面と同一平面上にあり、ソース接触部132は、いくつかの実装形態によれば、ドープされた半導体層122と接触するようにILD層134を通って垂直方向に延在している。相互接続層133の中のILD層134は、それに限定されないが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、低k誘電体、または、それらの任意の組み合わせを含む、誘電材料を含むことが可能である。相互接続層133の中の再分配層136は、それに限定されないが、W、Co、Cu、Al、シリサイド、または、それらの任意の組み合わせを含む、導電性材料を含むことが可能である。いくつかの実装形態において、相互接続層133は、3Dメモリデバイス100のパッシベーションおよび保護のための最外層としてパッシベーション層138をさらに含む。再分配層136の一部は、接触パッド140としてパッシベーション層138から露出されることが可能である。すなわち、3Dメモリデバイス100の相互接続層133は、ワイヤーボンディングおよび/またはインターポーザとのボンディングのための接触パッド140を含むことも可能である。製作プロセスに関して下記に説明されているように、いくつかの実装形態において、ソース接触部132および再分配層136は、同じプロセスによって形成され、同じ材料(たとえば、Al)を有することが可能である。したがって、ソース接触部132は、いくつかの例において、同様に、BEOL相互接続層133の一部として見られることが可能である。
いくつかの実装形態において、3Dメモリデバイス100の第2の半導体構造体104は、ドープされた半導体層122および充填層120を通る接触部142および144をさらに含む。ドープされた半導体層122はポリシリコンを含むことが可能であるので、接触部142および144は、いくつかの実装形態によれば、シリコン貫通接触部(TSC: through silicon contact)である。いくつかの実装形態において、接触部142は、ドープされた半導体層122、充填層120、およびILD層134を通って延在し、再分配層136と接触しており、ドープされた半導体層122の第1の部分121が、相互接続層133のソース接触部132および再分配層136を通して接触部142に電気的に接続されるようになっている。いくつかの実装形態において、接触部144は、ドープされた半導体層122、充填層120、およびILD層134を通って延在し、接触パッド140と接触している。接触部142および144は、それぞれ、接着剤層(たとえば、TiN)によって取り囲まれている金属層(たとえば、W、Co、Cu、もしくはAl)またはシリサイド層などのような、1つまたは複数の導電層を含むことが可能である。いくつかの実装形態において、少なくとも接触部144は、ドープされた半導体層122および充填層120から接触部144を電気的に分離するためのスペーサ(たとえば、誘電体層)をさらに含む。
いくつかの実装形態において、3Dメモリデバイス100は、メモリスタック114の外側に垂直方向にそれぞれ延在する周辺接触部146および148をさらに含む。それぞれの周辺接触部146または148は、メモリスタック114の深さよりも大きい深さを有し、メモリスタック114の外側にある周辺領域において、ボンディング層112から充填層120まで垂直方向に延在することが可能である。いくつかの実装形態において、周辺接触部146は、接触部142の下方にあり、接触部142と接触しており、ドープされた半導体層122の第1の部分121が、少なくともソース接触部132、再分配層136、接触部142、および周辺接触部146を通して、第1の半導体構造体102の中の周辺回路108に電気的に接続されるようになっている。いくつかの実装形態において、周辺接触部148は、接触部144の下方にあり、接触部144と接触しており、第1の半導体構造体102の中の周辺回路108が、少なくとも接触部144および周辺接触部148を通して、パッドアウトのための接触パッド140に電気的に接続されるようになっている。周辺接触部146および148は、それぞれ、接着剤層(たとえば、TiN)によって取り囲まれている金属層(たとえば、W、Co、Cu、もしくはAl)またはシリサイド層などのような、1つまたは複数の導電層を含むことが可能である。
図1に示されているように、3Dメモリデバイス100は、相互接続構造体の一部としてさまざまなローカル接触部(「C1」としても知られる)も含み、それは、メモリスタック114の中の構造体と直接的に接触している。いくつかの実装形態において、ローカル接触部は、チャネルローカル接触部150を含み、チャネルローカル接触部150は、それぞれ、それぞれのチャネル構造体124の下側端部の下方にあり、それと接触している。それぞれのチャネルローカル接触部150は、ビットラインファンアウトのためにビットライン接触部(図示せず)に電気的に接続されることが可能である。いくつかの実装形態において、ローカル接触部は、ワードラインローカル接触部152をさらに含み、ワードラインローカル接触部152は、それぞれ、ワードラインファンアウトのために、メモリスタック114の階段構造体において、それぞれのスタック導電層116(ワードラインを含む)の下方にあり、それと接触している。ローカル接触部(たとえば、チャネルローカル接触部150およびワードラインローカル接触部152など)は、少なくともボンディング層112および110を通して第1の半導体構造体102の周辺回路108に電気的に接続されることが可能である。ローカル接触部(たとえば、チャネルローカル接触部150およびワードラインローカル接触部152など)は、それぞれ、接着剤層(たとえば、TiN)によって取り囲まれている金属層(たとえば、W、Co、Cu、もしくはAl)またはシリサイド層などのような、1つまたは複数の導電層を含むことが可能である。
例示的な3Dメモリデバイス100が図1に示されているが、第1および第2の半導体構造体102および104の相対的位置、バックサイドソース接触部132もしくは公知のフロントサイドソース接触部(図示せず)の使用法、ならびに/または、(たとえば、第1の半導体構造体102および/または第2の半導体構造体104を通る)パッドアウト場所を変化させることによって、3Dメモリデバイスの任意の他の適切なアーキテクチャが、さらに細かく詳述することなく、本開示において適用可能であり得るということが理解される。
図5は、本開示のいくつかの態様による、3Dメモリデバイスを有する例示的なシステム500のブロック図を図示している。システム500は、携帯電話、デスクトップコンピュータ、ラップトップコンピュータ、タブレット、車両コンピュータ、ゲーミングコンソール、プリンタ、位置決めデバイス、ウェアラブル電子デバイス、スマートセンサ、仮想現実(VR)デバイス、拡張現実(AR)デバイス、または、ストレージをその中に有する任意の他の適切な電子デバイスであることが可能である。図5に示されているように、システム500は、ホスト508およびメモリシステム502を含むことが可能であり、メモリシステム502は、1つまたは複数の3Dメモリデバイス504およびメモリコントローラ506を有している。ホスト508は、電子デバイスのプロセッサ(たとえば、中央処理装置(CPU)など)、または、システム-オン-チップ(SoC)(たとえば、アプリケーションプロセッサ(AP)など)であることが可能である。
3Dメモリデバイス504は、本明細書で開示されている任意の3Dメモリデバイス(たとえば、図1および図2に示されている3Dメモリデバイス100など)であることが可能である。いくつかの実装形態において、それぞれの3Dメモリデバイス504は、NANDフラッシュメモリを含む。本開示の範囲と一貫して、3Dメモリデバイス504の半導体チャネルは、部分的にドープされていることが可能であり、ソース接触部を形成する半導体チャネルの一部が、ドープされていないままであるかまたは低度にドープされたメモリセルを形成する半導体チャネルの別の一部を残した状態で、ポテンシャル障壁を低下させるように高度にドープされるようになっている。3Dメモリデバイス504のそれぞれのチャネル構造体の一方の端部は、バックサイドから開かれ、それぞれの半導体チャネルのドープされた一部を露出させることが可能である。3Dメモリデバイス504は、接触抵抗およびシート抵抗をさらに低減させるために、半導体チャネルの露出されたドープされた一部を電気的に接続するドープされた半導体層をさらに含むことが可能である。結果として、3Dメモリデバイス504の電気的性能は、改善されることが可能であるが、そして、それは、メモリシステム502およびシステム500の性能を改善し、たとえば、より高い動作速度を実現する。
メモリコントローラ506は、3Dメモリデバイス504およびホスト508に連結されており、いくつかの実装形態によれば、3Dメモリデバイス504を制御するように構成されている。メモリコントローラ506は、3Dメモリデバイス504の中に記憶されているデータを管理し、ホスト508と通信することが可能である。いくつかの実装形態において、メモリコントローラ506は、セキュアデジタル(SD)カード、コンパクトフラッシュ(登録商標)(CF)カード、ユニバーサルシリアルバス(USB)フラッシュドライブ、または、電子デバイス(たとえば、パーソナルコンピュータ、デジタルカメラ、携帯電話などなど)の中で使用するための他の媒体などのように、低デューティーサイクル環境において動作するように設計されている。いくつかの実装形態において、メモリコントローラ506は、モバイルデバイス(たとえば、スマートフォン、タブレット、ラップトップコンピュータなど)のためのデータストレージおよびエンタープライズストレージアレイとして使用される高デューティーサイクル環境SSDまたは組み込み用マルチメディアカード(eMMC)において動作するように設計されている。メモリコントローラ506は、3Dメモリデバイス504の動作(たとえば、読み出し動作、消去動作、およびプログラム動作など)を制御するように構成されることが可能である。また、メモリコントローラ506は、3Dメモリデバイス504の中に記憶されているかまたは記憶されることとなるデータに関するさまざまな機能を管理するように構成されることが可能である(それに限定されないが、バッドブロック管理、ガベージコレクション、論理アドレス-ツー-物理アドレス変換、ウェアレベリングなどを含む)。いくつかの実装形態において、メモリコントローラ506は、3Dメモリデバイス504から読み取られるかまたは3Dメモリデバイス504に書き込まれるデータに関して誤り訂正符号(ECC)を処理するようにさらに構成されている。任意の他の適切な機能は、メモリコントローラ506によって同様に実施されることが可能であり、たとえば、3Dメモリデバイス504を形成する。メモリコントローラ506は、特定の通信プロトコルに従って、外部デバイス(たとえば、ホスト508)と通信することが可能である。たとえば、メモリコントローラ506は、さまざまなインターフェースプロトコル(たとえば、USBプロトコル、MMCプロトコル、周辺コンポーネント相互接続(PCI)プロトコル、PCI-express(PCI-E)プロトコル、アドバンスドテクノロジアタッチメント(ATA)プロトコル、シリアルATAプロトコル、パラレルATAプロトコル、スモールコンピュータスモールインターフェース(SCSI)プロトコル、エンハンストスモールディスクインターフェース(ESDI)プロトコル、インテグレーティドドライブエレクトロニクス(IDE)プロトコル、Firewireプロトコルなど)のうちの少なくとも1つを通して、外部デバイスと通信することが可能である。
メモリコントローラ506および1つまたは複数の3Dメモリデバイス504は、さまざまなタイプのストレージデバイスの中へ一体化されることが可能であり、たとえば、同じパッケージ(たとえば、ユニバーサルフラッシュストレージ(UFS)パッケージまたはeMMCパッケージなど)の中に含まれることが可能である。すなわち、メモリシステム502は、異なるタイプの最終エレクトロニクス製品として実装およびの中へパッケージングされることが可能である。図6Aに示されているような1つの例において、メモリコントローラ506および単一の3Dメモリデバイス504が、メモリカード602の中へ一体化されることが可能である。メモリカード602は、PCカード(PCMCIA、パーソナルコンピュータメモリカード国際協会)、CFカード、スマートメディア(SM)カード、メモリスティック、マルチメディアカード(MMC、RS-MMC、MMCmicro)、SDカード(SD、miniSD、microSD、SDHC)、UFSなどを含むことが可能である。メモリカード602は、メモリカード602をホスト(たとえば、図5のホスト508)と電気的に連結するメモリカードコネクタ604をさらに含むことが可能である。図6Bに示されているような別の例では、メモリコントローラ506および複数の3Dメモリデバイス504は、SSD606の中へ一体化されることが可能である。SSD606は、SSD606をホスト(たとえば、図5のホスト508)と電気的に連結するSSDコネクタ608をさらに含むことが可能である。いくつかの実装形態において、SSD606のストレージ容量および/または動作速度は、メモリカード602のものよりも大きい。
図3A~図3Oは、本開示のいくつかの実装形態による、例示的な3Dメモリデバイスを形成するための製作プロセスを図示している。図4は、本開示のいくつかの実装形態による、例示的な3Dメモリデバイスを形成するための方法400のフローチャートを図示している。図3A~図3Oおよび図4に描かれている3Dメモリデバイスの例は、図1に描かれている3Dメモリデバイス100を含む。図3A~図3Oおよび図4は、共に説明されることとなる。方法400に示されている動作は、網羅的なものではないということ、および、図示されている動作のいずれかの前に、その後に、またはその間に、他の動作も同様に実施されることが可能であるということが理解される。さらに、動作のうちのいくつかは、同時に実施されることが可能であり、または、図4に示されているものとは異なる順序で実施されることが可能である。
図4を参照すると、方法400は、動作402において開始し、動作402では、周辺回路が、第1の基板の上に形成される。第1の基板は、シリコン基板であることが可能である。図3Gに図示されているように、複数のトランジスタは、それに限定されないが、フォトリソグラフィ、エッチング、薄膜堆積、熱膨張、インプランテーション、化学的機械研磨(CMP)、および任意の他の適切なプロセスを含む、複数のプロセスを使用して、シリコン基板350の上に形成される。いくつかの実装形態において、ドープ領域(図示せず)は、イオンインプランテーションおよび/または熱拡散によって、シリコン基板350の中に形成され、それは、たとえば、トランジスタのソース領域および/またはドレイン領域として機能する。いくつかの実装形態において、アイソレーション領域(たとえば、STI)が、また、ウェットエッチングおよび/またはドライエッチングおよび薄膜堆積によってシリコン基板350の中に形成される。トランジスタは、シリコン基板350の上に周辺回路352を形成することが可能である。
図3Gに図示されているように、ボンディング層348が、周辺回路352の上方に形成される。ボンディング層348は、周辺回路352に電気的に接続されているボンディング接触部を含む。ボンディング層348を形成するために、ILD層が、1つまたは複数の薄膜堆積プロセスを使用して堆積され、1つまたは複数の薄膜堆積プロセスは、たとえば、化学蒸着(CVD)、物理蒸着(PVD)、原子層堆積(ALD)、または、それらの任意の組み合わせなどである;ILD層を通るボンディング接触部が、ウェットエッチングおよび/またはドライエッチング(たとえば、反応性イオンエッチング(RIE))を使用して形成され、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなどのような、1つまたは複数の薄膜堆積プロセスがそれに続く。
方法400は、図4に図示されているように、動作404に進行し、動作404では、充填層が、第2の基板の上方に形成され、スタック構造体が、充填層の上方に形成される。充填層およびスタック構造体は、半導体デバイスがその上に形成され得る第2の基板のフロントサイドに形成されることが可能である。第2の基板は、シリコン基板であることが可能である。第2の基板は最終製品から除去されることとなるので、第2の基板は、第2の基板のコストを低減させるために任意の適切な材料(たとえば、いくつか例を挙げると、ガラス、サファイヤ、プラスチック、シリコンなどから作製されたダミーウエハの一部(たとえば、キャリア基板)であることが可能であるということが理解される。いくつかの実装形態において、基板は、キャリア基板である。いくつかの実装形態において、充填層は、ポリシリコン、高k誘電体、または金属を含み、スタック構造体は、交互配置されたスタック誘電体層およびスタック犠牲層を有する誘電体スタックを含む。いくつかの例において、スタック構造体は、交互配置されたスタック誘電体層(たとえば、酸化ケイ素層)およびスタック導電層(たとえば、ポリシリコン層)を有するメモリスタックを含むことが可能であるということが理解される。
第2の基板の上に形成されることとなるさまざまな構造体のゲージングおよび表面平坦性をより良好に制御するために、さまざまなストップ層が、第2の基板と充填層との間に形成されることが可能である。いくつかの実装形態において、第1のストップ層、第2のストップ層、および第3のストップ層が、第2の基板と充填層との間に順次に形成される。第1のストップ層は、酸化ケイ素または窒化ケイ素を含むことが可能であり、第2のストップ層は、酸化ケイ素またはポリシリコンを含むことが可能であり、第3のストップ層は、窒化ケイ素またはポリシリコンを含むことが可能である。いくつかの実装形態において、単一のストップ層(たとえば、酸化ケイ素層または高k誘電体層など)が、第2の基板と充填層との間に形成される。
図3Aに図示されているように、第1のストップ層303が、キャリア基板302の上方に形成され、第2のストップ層304が、第1のストップ層303の上方に形成され第3のストップ層305が、第2のストップ層304の上方に形成され、充填層306が、第3のストップ層305の上に形成される。充填層306は、ポリシリコン、高k誘電体、または金属を含むことが可能である。下記に詳細に説明されているように、第3のストップ層305は、バックサイドからチャネル構造体のメモリフィルムをエッチングするときにエッチングストップ層として作用することが可能であり、したがって、メモリフィルムの中で使用される酸化ケイ素以外の任意の適切な材料(たとえば、ポリシリコンまたは窒化ケイ素など)を含むことが可能である。第2のストップ層304は、フロントサイドからチャネルホールをエッチングするときにエッチングストップ層として作用することが可能であり、したがって、直接的に第2のストップ層304の上の材料(たとえば、酸化ケイ素またはポリシリコンなど)に関して高いエッチング選択性(たとえば、約5よりも大きい)を有する任意の適切な材料を含むことが可能である。第1のストップ層303は、バックサイドからキャリア基板302を除去するときにCMP/エッチングストップ層として作用することが可能であり、したがって、キャリア基板302の材料以外の任意の適切な材料(たとえば、窒化ケイ素または酸化ケイ素など)を含むことが可能である。いくつかの例において、パッド酸化物層(たとえば、酸化ケイ素層)が、キャリア基板302と第1のストップ層303との間に形成され、または、第2のストップ層304と第3のストップ層305との間に形成され、異なる層の間の応力を緩和し、剥離を回避することが可能であるということが理解される。
図3Aに示されているように、酸化ケイ素層(パッド酸化物層)、窒化ケイ素層(第1のストップ層303)、酸化ケイ素層(第2のストップ層304)、および窒化ケイ素層(第3のストップ層305)のスタックは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組み合わせを含む、1つまたは複数の薄膜堆積プロセスを使用して、キャリア基板302の上に順次に形成されることが可能である。いくつかの実装形態において、充填層306は、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組み合わせを含む、1つまたは複数の薄膜堆積プロセスを使用して、ポリシリコンまたは任意の他の適切な材料(たとえば、高k誘電体または金属など)を第3のストップ層305の上に堆積させることによって形成される。説明を容易にするために、図3Aに示されているストップ層の組み合わせは、製作プロセスを説明するために本開示を通して使用されている。しかし、ストップ層の任意の他の適切な組み合わせは、同様に、他の例においても使用されることが可能であるということが理解される。示されていない1つの例において、(第1のストップ層303としての)酸化ケイ素層、(第2のストップ層304としての)ポリシリコン層、酸化ケイ素層(パッド酸化物層)、および(第3のストップ層305としての)ポリシリコン層のスタックは、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組み合わせを含む、1つまたは複数の薄膜堆積プロセスを使用して、キャリア基板302の上に順次に形成されることが可能である。示されていない別の例では、(第1の、第2の、および第3のストップ層303、304、および305として)単一の酸化物層または高k誘電体層が、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組み合わせを含む、1つまたは複数の薄膜堆積プロセスを使用して、キャリア基板302の上に形成されることが可能である。
図3Bに図示されているように、複数の対の第1の誘電体層(本明細書では「スタック犠牲層」312と称される)および第2の誘電体層(本明細書では「スタック誘電体層」310と称される;共に本明細書では「誘電体層対」と称される)を含む誘電体スタック308が、充填層306の上に形成される。誘電体スタック308は、いくつかの実装形態によれば、交互配置されたスタック犠牲層312およびスタック誘電体層310を含む。スタック誘電体層310およびスタック犠牲層312は、キャリア基板302の上方において充填層306の上に交互に形成され、誘電体スタック308を形成することが可能である。いくつかの実装形態において、それぞれのスタック誘電体層310は、酸化ケイ素の層を含み、それぞれのスタック犠牲層312は、窒化ケイ素の層を含む。誘電体スタック308は、それに限定されないが、CVD、PVD、ALD、または、それらの任意の組み合わせを含む、1つまたは複数の薄膜堆積プロセスによって形成されることが可能である。図3Bに図示されているように、階段構造体が、誘電体スタック308の縁部の上に形成されることが可能である。階段構造体は、キャリア基板302に向けて誘電体スタック308の誘電体層対に対して複数のいわゆる「トリムエッチング」サイクルを実施することによって形成されることが可能である。誘電体スタック308の誘電体層対に適用される繰り返されるトリムエッチングサイクルに起因して、誘電体スタック308は、図3Bに示されているように、1つまたは複数の傾斜した縁部と、底部のものよりも短い上部の誘電体層対とを有することが可能である。
方法400は、図4に図示されているように、動作406に進行し、動作406では、誘電体スタックおよび充填層を通って垂直方向に延在するチャネル構造体が形成される。チャネル構造体は、メモリフィルムおよび半導体チャネルを含むことが可能である。いくつかの実装形態において、チャネル構造体を形成するために、誘電体スタック、充填層、および第3のストップ層を通って垂直方向に延在するチャネルホールが形成され、それは、第2のストップ層において停止し、メモリフィルムおよび半導体チャネルが、チャネルホールの側壁部および底部表面に沿って順次に形成される。
図3Bに図示されているように、それぞれのチャネルホールは、誘電体スタック308、充填層306、および第3のストップ層305を通って垂直方向に延在する開口部であり、それは、第2のストップ層304において停止する。いくつかの実装形態において、複数の開口部が形成され、それぞれの開口部が、後のプロセスにおいて個々のチャネル構造体314を成長させるための場所になるようになっている。いくつかの実装形態において、チャネル構造体314のチャネルホールを形成するための製作プロセスは、ウェットエッチングおよび/またはドライエッチング(たとえば、ディープRIE(DRIE)など)を含む。チャネルホールのエッチングは、いくつかの実装形態によれば、第2のストップ層304(たとえば、酸化シリコンまたはポリシリコンなど)によって停止されるまで継続する。いくつかの実装形態において、エッチング条件(たとえば、エッチング速度および時間など)は、チャネルホールおよびその中に形成されたチャネル構造体314の間でのえぐれのばらつき(gouging variation)を最小化するために、それぞれのチャネルホールが第2のストップ層304に到達して停止することを保証するように制御されることが可能である。特定のエッチング選択性に応じて、1つまたは複数のチャネルホールは、小さな程度だけ第2のストップ層304の中へ延在することが可能であり、それは、依然として、本開示では第2のストップ層304によって停止されるものとして見られるということが理解される。
図3Bに図示されているように、ブロッキング層317、ストレージ層316、およびトンネリング層315を含むメモリフィルム、ならびに、半導体チャネル318が、チャネルホールの側壁部および底部表面に沿って、この順序で順次に形成される。いくつかの実装形態において、ブロッキング層317、ストレージ層316、およびトンネリング層315が、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して、チャネルホールの側壁部および底部表面に沿ってこの順序で最初に堆積され、メモリフィルムを形成する。次いで、半導体チャネル318が、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して、トンネリング層315の上に半導体材料(たとえば、ポリシリコン(たとえば、ドープされていないポリシリコン)など)を堆積させることによって形成されることが可能である。いくつかの実装形態において、第1の酸化ケイ素層、窒化ケイ素層、第2の酸化ケイ素層、およびポリシリコン層(「SONO」構造体)が順次に堆積され、メモリフィルムのブロッキング層317、ストレージ層316、およびトンネリング層315、ならびに半導体チャネル318を形成する。
図3Bに図示されているように、キャッピング層が、チャネルホールの中におよび半導体チャネル318の上に形成され、(たとえば、空気ギャップを備えずにまたは空気ギャップを備えて)チャネルホールを完全にまたは部分的に充填する。キャッピング層は、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して、誘電材料(たとえば、酸化ケイ素など)を堆積させることによって形成されることが可能である。次いで、チャネルプラグが、チャネルホールの上部部分の中に形成されることが可能である。いくつかの実装形態において、誘電体スタック308の上部表面の上にあるメモリフィルム、半導体チャネル318、およびキャッピング層の一部が除去され、CMP、ウェットエッチング、および/またはドライエッチングによって平面化される。次いで、チャネルホールの上部部分の中の半導体チャネル318およびキャッピング層の一部をウェットエッチングおよび/またはドライエッチングすることによって、凹部が、チャネルホールの上部部分の中に形成されることが可能である。次いで、チャネルプラグが、1つまたは複数の薄膜堆積プロセス(たとえば、CVD、PVD、ALD、または、それらの任意の組み合わせなど)によって、半導体材料(たとえば、ポリシリコンなど)を凹部の中へ堆積させることによって形成されることが可能である。それによって、チャネル構造体314は、いくつかの実装形態によれば、誘電体スタック308、充填層306、および第3のストップ層305を通して形成され、それは、第2のストップ層304において停止する。
図3Cに図示されているように、スリット320は、誘電体スタック308を通って垂直方向に延在し、充填層306において停止する開口部である。いくつかの実装形態において、スリット320を形成するための製作プロセスは、ウェットエッチングおよび/またはドライエッチング(たとえば、DRIEなど)を含む。次いで、ゲート交換が、スリット320を通して実施され、誘電体スタック308をメモリスタック330(図3Eに示されている)と交換することが可能である。
図3Dに図示されているように、横方向凹部322が、スリット320を通してスタック犠牲層312(図3Cに示されている)を除去することによって最初に形成される。いくつかの実装形態において、スタック犠牲層312は、スリット320を通してエッチング液を適用することによって除去され、スタック誘電体層310の間に交互配置された横方向凹部322を生成させる。エッチング液は、スタック誘電体層310に対して選択的にスタック犠牲層312をエッチングする任意の適切なエッチング液を含むことが可能である。
図3Eに図示されているように、スタック導電層328(ゲート電極および接着剤層を含む)が、スリット320を通して横方向凹部322(図3Dに示されている)の中へ堆積される。いくつかの実装形態において、ゲート誘電体層332が、スタック導電層328の前に横方向凹部322の中へ堆積され、スタック導電層328がゲート誘電体層332の上に堆積されるようになっている。スタック導電層328(たとえば、金属層など)は、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して堆積されることが可能である。いくつかの実装形態において、ゲート誘電体層332(たとえば、高k誘電体層など)が、同様に、スリット320の側壁部および底部に沿って形成される。それによって、交互配置されたスタック導電層328およびスタック誘電体層310を含むメモリスタック330が形成され、いくつかの実装形態によれば、誘電体スタック308(図3Dに示されている)を交換する。
図3Eに図示されているように、メモリスタック330を通って垂直方向に延在する絶縁構造体336が形成され、それは、充填層306の上部表面において停止する。絶縁構造体336は、1つまたは複数の誘電材料(たとえば、酸化ケイ素など)をスリット320の中へ堆積させることによって形成され、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して、(たとえば、空気ギャップを備えずにまたは空気ギャップを備えて)スリット320を完全にまたは部分的に充填することが可能である。いくつかの実装形態において、絶縁構造体336は、ゲート誘電体層332(たとえば、高k誘電体を含む)および誘電体キャッピング層334(たとえば、酸化ケイ素を含む)を含む。示されていないが、いくつかの例において、誘電体キャッピング層334は、スリット320を部分的に充填することが可能であり、ポリシリコンコア層(図示せず)は、絶縁構造体336の一部として、スリット320の残りのスペースを充填し、絶縁構造体336の機械的特性(たとえば、硬度または応力など)を調節することが可能である。
図3Fに図示されているように、絶縁構造体336の形成の後に、ローカル接触部(チャネルローカル接触部344およびワードラインローカル接触部342、ならびに、周辺接触部338および340を含む)が形成される。1つまたは複数の薄膜堆積プロセス(たとえば、CVD、PVD、ALD、または、それらの任意の組み合わせなど)を使用して、誘電材料(たとえば、酸化ケイ素または窒化ケイ素など)をメモリスタック330の上に堆積させることによって、ローカル誘電体層が、メモリスタック330の上に形成されることが可能である。ウェットエッチングおよび/またはドライエッチング(たとえば、RIE)を使用して、ローカル誘電体層(および、任意の他のILD層)を通して接触部開口部をエッチングすることによって、そして、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して、導電性材料によって接触部開口部を充填することがそれに続くことによって、チャネルローカル接触部344、ワードラインローカル接触部342、ならびに、周辺接触部338および340が、形成されることが可能である。
図3Fに図示されているように、ボンディング層346が、チャネルローカル接触部344、ワードラインローカル接触部342、ならびに周辺接触部338および340の上方に形成される。ボンディング層346は、チャネルローカル接触部344、ワードラインローカル接触部342、ならびに周辺接触部338および340に電気的に接続されているボンディング接触部を含む。ボンディング層346を形成するために、ILD層は、1つまたは複数の薄膜堆積プロセス(たとえば、CVD、PVD、ALD、または、それらの任意の組み合わせなど)を使用して堆積され、ボンディング接触部が、ウェットエッチングおよび/またはドライエッチング(たとえば、RIE)を使用して、そして、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)がそれに続くことによって、ILD層を通して形成される。
方法400は、図4に図示されているように、動作408に進行し、動作408では、第1の基板および第2の基板が向かい合った様式で結合され、メモリスタックが周辺回路の上方にあるようになっている。ボンディングは、ハイブリッドボンディングを含むことが可能である。図3Gに図示されているように、キャリア基板302およびその上に形成されたコンポーネント(たとえば、メモリスタック330およびそれを通して形成されたチャネル構造体314)が、逆さまにひっくり返される。いくつかの実装形態によれば、下を向いたボンディング層346が、上を向いたボンディング層348と結合され、すなわち、向かい合った様式で結合され、それによって、キャリア基板302とシリコン基板350との間にボンディングインターフェース354を形成する。いくつかの実装形態において、処理プロセス(たとえば、プラズマ処理、ウェット処理、および/または熱処理)が、ボンディングの前にボンディング表面に適用される。ボンディングの後に、ボンディング層346の中のボンディング接触部およびボンディング層348の中のボンディング接触部が位置合わせされ、互いに接触しており、メモリスタック330およびそれを通して形成されたチャネル構造体314が、周辺回路352に電気的に接続されることが可能であり、周辺回路352の上方にあるようになっている。
方法400は、図4に図示されているように、動作410に進行し、動作410では、第2の基板およびメモリフィルムの一部が順次に除去され、充填層に面する半導体チャネルの一部を露出させる。除去は、第2の基板のバックサイドから実施されることが可能である。いくつかの実装形態において、メモリフィルムの除去された一部は、充填層に面している。いくつかの実装形態において、第2の基板およびメモリフィルムの一部を順次に除去するために、第2の基板が除去され、それは、第1のストップ層において停止し、第1のストップ層および第2のストップ層が除去され、それは、第3のストップ層において停止し、第3のストップ層が、メモリフィルムを露出させるようにパターニングされ、露出されたメモリフィルムがエッチングされ、それは、スタック構造体と充填層との間のインターフェースの前でまたはそこにおいて停止し、半導体チャネルの露出された一部を取り囲む凹部を形成する。いくつかの実装形態において、半導体チャネルの露出された一部がドープされる。ドーパントは、N型ドーパントを含むことが可能である。
図3Hに図示されているように、キャリア基板302(および、図3Gに示されている、キャリア基板302と第1のストップ層303との間のパッド酸化物層)が、第1のストップ層303(たとえば、窒化ケイ素層)によって停止されるまで、バックサイドから完全に除去される。キャリア基板302は、CMP、研削、ドライエッチング、および/またはウェットエッチングを使用して、完全に除去されることが可能である。いくつかの実装形態において、キャリア基板302が剥離される。キャリア基板302がシリコンを含み、第1のストップ層303が窒化ケイ素を含むいくつかの実装形態において、キャリア基板302は、シリコンCMPを使用して除去され、それは、シリコン以外の材料を有する第1のストップ層303に到達したときに自動的に停止されることが可能である(すなわち、バックサイドCMPストップ層として作用する)。いくつかの実装形態において、キャリア基板302(シリコン基板)は、テトラメチル水酸化アンモニウム(TMAH)によるウェットエッチングを使用して除去され、それは、シリコン以外の材料を有する第1のストップ層303に到達したときに自動的に停止される(すなわち、バックサイドエッチングストップ層として作用する)。第1のストップ層303は、薄化の後の厚さの均一性の心配なしに、キャリア基板302の完全な除去を保証することが可能である。
図3Iに示されているように、第1および第2のストップ層303および304(図3Hに示されている)は、次いで、適切なエッチング液(たとえば、リン酸およびフッ化水素酸など)によるウェットエッチングを使用して、第2のストップ層304とは異なる材料(たとえば、窒化ケイ素)を有する第3のストップ層305によって停止されるまで、同様に完全に除去されることが可能である。いくつかの実装形態において、第3のストップ層305は、充填層306を依然としてカバーしながら、リソグラフィおよびエッチングを使用して、それぞれのチャネル構造体314のメモリフィルム(ストレージ層316、ブロッキング層317、およびトンネリング層315を有する)を露出させるようにパターニングされる。それぞれのチャネル構造体314が延在し、第3のストップ層305によって停止されるケースでは、パターニングプロセスはスキップされることが可能であるということが理解される。いくつかの実装形態において、第3のストップ層305は、リン酸によるウェットエッチングを使用して第2のストップ層304を除去した後に除去される。
図3Jに図示されているように、充填層306に面するストレージ層316、ブロッキング層317、およびトンネリング層315(図3Iに示されている)の一部が除去され、メモリスタック330を越えて延在する半導体チャネル318の上部部分を取り囲む凹部357を形成する。たとえば、チャネル構造体314の露出されたメモリフィルムがエッチングされ、メモリスタック330と充填層306との間のインターフェースの前でまたはそこで停止し、半導体チャネル318の露出された一部を取り囲む凹部357を形成することが可能である。いくつかの実装形態において、2つのウェットエッチングプロセスが、順次に実施される。たとえば、窒化ケイ素を含むストレージ層316が、適切なエッチング液(たとえば、リン酸など)によるウェットエッチングを使用して、選択的に除去される。ストレージ層316のエッチングは、エッチングがメモリスタック330の上部表面を越えて継続しないように、エッチング時間および/またはエッチング速度を制御することによって制御されることが可能である。次いで、酸化ケイ素を含むブロッキング層317およびトンネリング層315が、ポリシリコンを含む半導体チャネル318をエッチングすることなく、適切なエッチング液(たとえば、フッ化水素酸など)によるウェットエッチングを使用して選択的に除去されることが可能である。ブロッキング層317およびトンネリング層315のエッチングは、エッチングがメモリスタック330の上部表面を越えて継続しないように、エッチング時間および/またはエッチング速度を制御することによって制御されることが可能である。すなわち、メモリフィルムのエッチングは、結果として生じる凹部357の底部表面がメモリスタック330の上部表面の上方にあるかまたはそれと同一平面上にあるように、制御されることが可能である。
いくつかの実装形態において、第3のストップ層305(図3Iに示されている)をエッチングマスクとして使用して、単一のドライエッチングプロセスが実施される。たとえば、第3のストップ層305は、ドライエッチングを実施するときに除去されなくてもよいが、その代わりに、エッチングマスクとして他のエリアを依然としてカバーしながら、チャネル構造体314の上側端部において、ストレージ層316、ブロッキング層317、およびトンネリング層315のみを露出させるようにパターニングされることが可能である。次いで、充填層306に面するストレージ層316、ブロッキング層317、およびトンネリング層315の一部をエッチングするために、ドライエッチングが実施されることが可能である。ドライエッチングは、エッチングがメモリスタック330の上部表面を越えて継続しないように、エッチング時間および/またはエッチング速度を制御することによって制御されることが可能である。ドライエッチングが終了されると第3のストップ層305が除去されることが可能である。
それにもかかわらず、充填層306に面するストレージ層316、ブロッキング層317、およびトンネリング層315の一部をバックサイドから除去することは、高いアスペクト比(たとえば、50よりも大きい)を有する誘電体スタック308/メモリスタック330を通る開口部(たとえば、図3Dのスリット320)を介したフロントサイドウェットエッチングを使用する公知の解決策と比較して、はるかに困難でなく、より高い生産収率を有している。スリット320の高いアスペクト比によって導入される問題を回避することによって、製作の複雑さおよびコストが低減されることが可能であり、収率が増加されることが可能である。また、垂直方向のスケーラビリティ(たとえば、誘電体スタック308/メモリスタック330のレベルの増加)も同様に改善されることが可能である。
図3Jに図示されているように、それぞれのチャネル構造体314のメモリフィルム(ブロッキング層317、ストレージ層316、およびトンネリング層315を含む)の上部部分は、いくつかの実装形態によれば、凹部357を形成するために除去され、(充填層306に面する)メモリスタック330を越えて延在する半導体チャネル318の少なくとも一部の上部表面および側壁部を露出させることが可能である。いくつかの実装形態において、凹部357によって露出された半導体チャネル318の上部部分は、その導電率を増加させるようにドープされる。たとえば、傾斜イオンインプランテーションプロセスが、凹部357によって露出された半導体チャネル318(たとえば、ポリシリコンを含む)の上部部分を、任意の適切なドーパント(たとえば、P、As、またはSbなどN型ドーパント)によって、所望のドーピング濃度にドープするように実施されることが可能である。いくつかの実装形態において、凹部357の底部表面は、メモリスタック330の上部表面と同一平面上にあり、メモリスタック330を越えて延在する半導体チャネル318の一部の側壁部全体を露出させ、イオンインプランテーションのためのエリアを最大化する。
方法400は、図4に図示されているように、動作412に進行し、動作412では、半導体チャネルの露出された一部と接触しているドープされた半導体層が形成される。いくつかの実装形態において、ドーパントは、N型ドーパントを含む。いくつかの実装形態において、ドープされた半導体層を形成するために、ポリシリコンの層が凹部の中へおよび充填層の上に堆積され、ポリシリコンの堆積された層がドープされる。
図3Kに図示されているように、ドープされた半導体層360が、凹部357(図3Jに示されている)の中に形成され、それは、半導体チャネル318の露出された一部、および、充填層306の上の凹部357の外側を取り囲んでおり、それと接触している。いくつかの実装形態において、ドープされた半導体層360を形成するために、半導体層(たとえば、ポリシリコン)が、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して、半導体チャネル318の露出された一部と接触して凹部357の中に堆積され、また、充填層306と接触して凹部357の外側に堆積される。堆積された半導体層は、イオンインプランテーションおよび/または熱拡散を使用して、N型ドーパント(たとえば、P、As、またはSbなど)でドープされることが可能である。いくつかの実装形態において、ドープされた半導体層360を形成するために、半導体層を凹部357の中へおよび充填層306の上に堆積させるときに、N型ドーパント(たとえば、P、As、またはSbなど)のインサイチュドーピングが実施される。いくつかの実装形態において、必要に応じて、任意の過剰なドープされた半導体層360を除去するために、CMPプロセスが実施されることが可能である。
方法400は、図4に図示されているように、動作414に進行し、動作414では、ドープされた半導体層、および、ドープされた半導体層と接触している半導体チャネルの一部が、局所的に活性化させられる。いくつかの実装形態において、局所的に活性化させるために、ドープされた半導体層および半導体チャネルの一部の中のドーパントを活性化させるために、ドープされた半導体層および半導体チャネルの一部を有する閉じ込められたエリアに、熱が印加される。閉じ込められたエリアは、スタック構造体とドープされた半導体層との間にあることが可能である。いくつかの実装形態において、ドープされた半導体層のドーピング濃度、および、ドープされた半導体層と接触している半導体チャネルの一部のドーピング濃度は、それぞれ、活性化の後に1019cm-3から1021cm-3の間にある。
図3Lに図示されているように、ドープされた半導体層360、および、ドープされた半導体層360と接触している半導体チャネル318の一部が、局所的に活性化させられる。いくつかの実装形態において、熱が、ドープされた半導体層360および半導体チャネル318の一部を有する閉じ込められたエリアに印加され、その中のドーパント(たとえば、N型ドーパント(たとえば、P、As、またはSb)など)を活性化させる。たとえば、閉じ込められたエリアは、垂直方向において、メモリスタック330とドープされた半導体層360との間にあることが可能である。熱は、任意の適切な技法(たとえば、アニーリング、レーザー、超音波、または任意の他の適切な熱的プロセスなど)によって印加および集束されることが可能である。いくつかの実装形態において、局所的な活性化プロセスの間に熱によって影響を受ける可能性のある閉じ込められたエリアは、ボンディングインターフェース354および周辺回路352を接続するために使用されるCu相互接続部を加熱することを回避するために、ボンディングインターフェース354までおよびボンディングインターフェース354を越えて延在しない。局所的な活性化プロセスは、ドープされた半導体層360(および、半導体チャネル318がすでにドープされている場合に、半導体チャネル318の露出された一部)の中へドープされたドーパントを活性化させることが可能である。結果として、ドープされた半導体層360のドーピング濃度、および、半導体チャネル318の露出された一部のドーピング濃度は、それぞれ、活性化の後に1019cm-3から1021cm-3の間にある。いくつかの実装形態において、局所的な活性化プロセスは制御され、ドープされた半導体層360(および、半導体チャネル318がすでにドープされている場合に、半導体チャネル318の露出された一部)の中にドーパントが、図2に関して上記に説明されているように、ソース選択ゲートライン(たとえば、充填層306の最も近くの1つまたは複数のスタック導電層328)を越えるまで、しかし、ワードラインに面しないように、チャネル構造体314のソースからチャネル構造体314のドレインに向けて拡散することが可能であるようになっている。
局所的な活性化プロセスは、ドーパントを活性化させることが可能であり、ドーパントがシリコン格子を占有し、ドープされた半導体層360と半導体チャネル318との間の接触抵抗を低減させ、また、ドープされた半導体層360のシート抵抗を低減させることが可能であるようになっている。他方では、局所的な活性化プロセスの間の熱を、感熱構造体を備えないエリアの中へ閉じ込めることによって、感熱構造体(たとえば、ボンディングインターフェース354、および、周辺回路352を接続するために使用されるCu相互接続部など)への任意の潜在的な損傷が低減または回避されることが可能である。
方法400は、図4に図示されているように、動作416に進行し、動作416では、ソース接触部が、ドープされた半導体層と接触して形成されている。図3Mに図示されているように、1つまたは複数のILD層356が、ドープされた半導体層360の上に形成される。ILD層356は、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して、ドープされた半導体層360の上部表面の上に誘電材料を堆積させることによって形成されることが可能である。図3Nに図示されているように、ソース接触部開口部358が、ILD層356を通して形成され、ドープされた半導体層360の一部を露出させることが可能である。いくつかの実装形態において、ソース接触部開口部358は、ウェットエッチングおよび/またはドライエッチング(たとえば、RIEなど)を使用して形成される。
図3Oに図示されているように、(導電層370の一部としての)ソース接触部が、充填層306のバックサイドにおいて、それぞれのソース接触部開口部358(図3Nに示されている)の中に形成される。いくつかの実装形態によれば、ソース接触部は、ドープされた半導体層360の上方にあり、ドープされた半導体層360と接触している。いくつかの実装形態において、導電層370(たとえば、Alなど)が、1つまたは複数の薄膜堆積プロセス(たとえば、ALD、CVD、PVD、任意の他の適切なプロセス、または、それらの任意の組み合わせなど)を使用して、ソース接触部開口部358の中へ堆積され、ソース接触部開口部358を充填する。次いで、平面化プロセス(たとえば、CMPなど)が、過剰な導電層370を除去するために実施されることが可能である。
図3Oに図示されているように、いくつかの実装形態において、導電層370は、再分配層も含み、再分配層は、ソース接触部の上方にあり、ソース接触部と接触している。すなわち、導電層370は、いくつかの実装形態によれば、ソース接触部としてソース接触部開口部358の中へ堆積されるだけでなく、複数のソース接触部を電気的に接続する再分配層として、ILD層356の上にソース接触部開口部358の外側にも堆積される。
図3Oに図示されているように、いくつかの実装形態において、導電層370は、ILD層356、ドープされた半導体層360、および充填層306を通って延在する接触部をさらに含む。すなわち、導電層370は、ソース接触部としてソース接触部開口部358の中へ堆積されるだけでなく、周辺接触部338および340に電気的に接続されている接触部として、接触部開口部363および361(図3Nに示されている)の中へも堆積される。図3Mおよび図3Nに図示されているように、スペーサ層371、ILD層356、ドープされた半導体層360、および充填層306を通ってそれぞれ延在する接触部開口部363および361は、ウェットエッチングおよび/またはドライエッチング(たとえば、RIEなど)を使用して形成される。いくつかの実装形態において、接触部開口部363および361は、周辺接触部338および340とそれぞれ位置合わせされるように、リソグラフィを使用してパターニングされる。接触部開口部363および361のエッチングは、周辺接触部338および340を露出させるために、周辺接触部338および340の上側端部において停止することが可能である。図3Nに図示されているように、スペーサ362が、ドープされた半導体層360を電気的に分離するために、接触部開口部363および361の側壁部に沿ってスペーサ層371から形成されている。
本開示の1つの態様によれば、3Dメモリデバイスは、交互配置された導電層および誘電体層を含むスタック構造体と、スタック構造体を通って延在するチャネル構造体と、ドープされた半導体層とを含む。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。半導体チャネルは、ドープされた部分およびドープされていない部分を含む。半導体チャネルのドープされた部分の一部は、第1の方向にスタック構造体を越えて延在している。ドープされた半導体層の一部は、スタック構造体を越えて延在する半導体チャネルのドープされた部分の一部の側壁部と接触している。
いくつかの実装形態において、半導体チャネルのドープされた部分のドーピング濃度、および、ドープされた半導体層のドーピング濃度は、それぞれ、1019cm-3から1021cm-3の間にある。
いくつかの実装形態において、半導体チャネルのドープされた部分およびドープされた半導体層は、N型のドープされたポリシリコンをそれぞれ含む。
いくつかの実装形態において、半導体チャネルのドープされた部分は、第1の方向とは反対の第2の方向に、導電層のうちの1つを越えて延在している。
いくつかの実装形態において、導電層のうちの1つは、ソース選択ゲートラインを含む。
いくつかの実装形態において、3Dメモリデバイスは、第1の方向においてスタック構造体とドープされた半導体層の別の一部との間に充填層をさらに含む。
いくつかの実装形態において、充填層は、ポリシリコン、高k誘電体、または金属を含む。
いくつかの実装形態において、3Dメモリデバイスは、ドープされた半導体層と接触しているソース接触部をさらに含む。
いくつかの実装形態において、メモリフィルムの一方の端部は、スタック構造体の対応する表面と同一平面上にあるかまたはそれを越えている。
いくつかの実装形態において、3Dメモリデバイスは、消去動作を実施するときにGIDL支援型のボディバイアスを発生させるように構成されている。
本開示の別の態様によれば、3Dメモリデバイスは、交互配置された導電層および誘電体層を含むスタック構造体と、ドープされた半導体層と、スタック構造体を通ってドープされた半導体層まで延在するチャネル構造体とを含む。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。半導体チャネルは、ドープされた部分を含む。半導体チャネルのドープされた部分は、ドープされた半導体層とドープされた半導体層の最も近くにある導電層のうちの1つとの間にある。
いくつかの実装形態において、半導体チャネルのドープされた部分のドーピング濃度、および、ドープされた半導体層のドーピング濃度は、それぞれ、1019cm-3から1021cm-3の間にある。
いくつかの実装形態において、半導体チャネルのドープされた部分およびドープされた半導体層は、N型のドープされたポリシリコンをそれぞれ含む。
いくつかの実装形態において、導電層のうちの1つは、ソース選択ゲートラインを含む。
いくつかの実装形態において、ドープされた半導体層の一部は、半導体チャネルのドープされた部分と接触している。
いくつかの実装形態において、3Dメモリデバイスは、スタック構造体およびドープされた半導体層の別の一部との間に充填層をさらに含む。
いくつかの実装形態において、充填層は、ポリシリコン、高k誘電体、または金属を含む。
いくつかの実装形態において、3Dメモリデバイスは、ドープされた半導体層と接触しているソース接触部をさらに含む。
いくつかの実装形態において、メモリフィルムの一方の端部は、スタック構造体の対応する表面と同一平面上にあるかまたはそれを越えている。
いくつかの実装形態において、3Dメモリデバイスは、消去動作を実施するときにGIDL支援型のボディバイアスを発生させるように構成されている。
本開示のさらに別の態様によれば、3Dメモリデバイスを形成するための方法が提供される。充填層が、基板の上方に形成される。スタック構造体が、充填層の上方に形成される。スタック構造体および充填層を通って延在するチャネル構造体が形成される。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。基板およびメモリフィルムの一部は、順次に除去され、充填層に面する半導体チャネルの一部を露出させる。ドープされた半導体層が、半導体チャネルの露出された一部と接触して形成される。ドープされた半導体層、および、ドープされた半導体層と接触している半導体チャネルの一部が、局所的に活性化させられる。
いくつかの実装形態において、局所的に活性化させるために、熱が、ドープされた半導体層および半導体チャネルの一部を有する閉じ込められたエリアにおいて印加され、ドープされた半導体層および半導体チャネルの一部の中のドーパントを活性化させる。
いくつかの実装形態において、チャネル構造体のそれぞれは、メモリフィルムおよび半導体チャネルを含み、金属シリサイド層は、複数のチャネル構造体の半導体チャネルと接触している。
いくつかの実装形態において、閉じ込められたエリアは、スタック構造体とドープされた半導体層との間にある。
いくつかの実装形態において、ドーパントは、N型ドーパントを含み、活性化の後に、ドープされた半導体層のドーピング濃度、および、ドープされた半導体層と接触している半導体チャネルの一部のドーピング濃度は、それぞれ、1019cm-3から1021cm-3の間にある。
いくつかの実装形態において、ドープされた半導体層を形成する前に、半導体チャネルの露出された一部がドープされる。
いくつかの実装形態において、第1のストップ層、第2のストップ層、および第3のストップ層が、基板と充填層との間に順次に形成される。
いくつかの実装形態において、第1のストップ層は、酸化ケイ素または窒化ケイ素を含み、第2のストップ層は、酸化ケイ素またはポリシリコンを含み、第3のストップ層は、窒化ケイ素またはポリシリコンを含み、充填層は、ポリシリコンを含む。
いくつかの実装形態において、チャネル構造体を形成するために、チャネルホールが、スタック構造体、充填層、および第3のストップ層を通って延在し、第2のストップ層において停止するように形成され、メモリフィルムおよび半導体チャネルが、チャネルホールの側壁部および底部表面に沿って順次に形成される。
いくつかの実装形態において、基板、および、メモリフィルムの一部を順次に除去するために、基板が除去され、第1のストップ層において停止し、第1のストップ層および第2のストップ層が除去され、第3のストップ層において停止し、第3のストップ層がパターニングされ、メモリフィルムを露出させ、露出されたメモリフィルムがエッチングされ、スタック構造体と充填層との間のインターフェースの前でまたはそこで停止し、半導体チャネルの露出された一部を取り囲む凹部を形成する。
いくつかの実装形態において、ドープされた半導体層を形成するために、ポリシリコンの層が、凹部の中へおよび充填層の上に堆積され、ポリシリコンの堆積された層がドープされる。
いくつかの実装形態において、ドープされた半導体層を局所的に活性化させた後に、ソース接触部が、ドープされた半導体層と接触して形成される。
本開示のさらなる別の態様によれば、システムは、データを記憶するように構成されている3Dメモリデバイスと、メモリコントローラとを含み、メモリコントローラは、3Dメモリデバイスに連結されており、3Dメモリデバイスを制御するように構成されている。3Dメモリデバイスは、交互配置された導電層および誘電体層を含むスタック構造体と、スタック構造体を通って延在するチャネル構造体と、ドープされた半導体層とを含む。チャネル構造体は、メモリフィルムおよび半導体チャネルを含む。半導体チャネルは、ドープされた部分およびドープされていない部分を含む。半導体チャネルのドープされた部分の一部は、第1の方向にスタック構造体を越えて延在している。ドープされた半導体層の一部は、スタック構造体を越えて延在する半導体チャネルのドープされた部分の一部の側壁部と接触している。
いくつかの実装形態において、システムは、ホストをさらに含み、ホストは、メモリコントローラに連結されている。
いくつかの実装形態において、半導体チャネルのドープされた部分のドーピング濃度、および、ドープされた半導体層のドーピング濃度は、それぞれ、1019cm-3から1021cm-3の間にある。
いくつかの実装形態において、半導体チャネルのドープされた部分およびドープされた半導体層は、N型のドープされたポリシリコンをそれぞれ含む。
いくつかの実装形態において、半導体チャネルのドープされた部分は、第1の方向とは反対の第2の方向に、導電層のうちの1つを越えて延在している。
いくつかの実装形態において、導電層のうちの1つは、ソース選択ゲートラインを含む。
いくつかの実装形態において、3Dメモリデバイスは、第1の方向において、スタック構造体とおよびドープされた半導体層の別の一部との間に充填層をさらに含む。
いくつかの実装形態において、充填層は、ポリシリコン、高k誘電体、または金属を含む。
いくつかの実装形態において、3Dメモリデバイスは、ドープされた半導体層と接触しているソース接触部をさらに含む。
いくつかの実装形態において、メモリフィルムの一方の端部は、スタック構造体の対応する表面と同一平面上にあるかまたはそれを越えている。
いくつかの実装形態において、3Dメモリデバイスは、消去動作を実施するときにGIDL支援型のボディバイアスを発生させるように構成されている。
特定の実装形態の先述の説明は、さまざまな用途に関して容易に修正および/または適合されることが可能である。したがって、そのような適合および修正は、本明細書に提示されている教示および指針に基づいて、開示されている実装形態の均等物の意味および範囲の中にあることを意図されている。
本開示の幅および範囲は、上記に説明された例示的な実施形態のいずれによっても限定されるべきではなく、添付の特許請求の範囲およびその均等物のみに従って定義されるべきである。
100 3Dメモリデバイス
101 基板
102 第1の半導体構造体
104 第2の半導体構造体
106 ボンディングインターフェース
108 周辺回路
110 ボンディング層
111 ボンディング接触部
112 ボンディング層
113 ボンディング接触部
114 メモリスタック
116 スタック導電層
118 スタック誘電体層
120 充填層
121 第1の部分、プレート
122 ドープされた半導体層
123 プラグ
124 チャネル構造体
126 メモリフィルム
128 半導体チャネル
128a ドープされた部分
128b ドープされていない部分
129 チャネルプラグ
130 絶縁構造体
132 ソース接触部
133 相互接続層
134 ILD層
136 再分配層
138 パッシベーション層
140 接触パッド
142 接触部
144 接触部
146 周辺接触部
148 周辺接触部
150 チャネルローカル接触部
152 ワードラインローカル接触部
201 ソース選択ゲートライン
203 ワードライン
302 キャリア基板
303 第1のストップ層
304 第2のストップ層
305 第3のストップ層
306 充填層
308 誘電体スタック
310 スタック誘電体層
312 スタック犠牲層
314 チャネル構造体
315 トンネリング層
316 ストレージ層
317 ブロッキング層
318 半導体チャネル
320 スリット
322 横方向凹部
328 スタック導電層
330 メモリスタック
332 ゲート誘電体層
334 誘電体キャッピング層
336 絶縁構造体
338 周辺接触部
340 周辺接触部
342 ワードラインローカル接触部
344 チャネルローカル接触部
346 ボンディング層
348 ボンディング層
350 シリコン基板
352 周辺回路
354 ボンディングインターフェース
356 ILD層
357 凹部
358 ソース接触部開口部
360 ドープされた半導体層
361 接触部開口部
362 スペーサ
363 接触部開口部
370 導電層
371 スペーサ層
500 システム
502 メモリシステム
504 3Dメモリデバイス
506 メモリコントローラ
508 ホスト
602 メモリカード
604 メモリカードコネクタ
606 SSD
608 SSDコネクタ

Claims (42)

  1. 交互配置された導電層および誘電体層を含むスタック構造体と、
    前記スタック構造体を通って延在するチャネル構造体であって、前記チャネル構造体は、メモリフィルムおよび半導体チャネルを含み、前記半導体チャネルは、ドープされた部分およびドープされていない部分を含み、前記半導体チャネルの前記ドープされた部分の一部は、第1の方向に前記スタック構造体を越えて延在している、チャネル構造体と、
    ドープされた半導体層であって、前記ドープされた半導体層の一部は、前記スタック構造体を越えて延在する前記半導体チャネルの前記ドープされた部分の前記一部の側壁部と接触している、ドープされた半導体層と、
    を含む、3次元(3D)メモリデバイス。
  2. 前記半導体チャネルの前記ドープされた部分のドーピング濃度、および、前記ドープされた半導体層のドーピング濃度は、それぞれ、1019cm-3から1021cm-3の間にある、請求項1に記載の3Dメモリデバイス。
  3. 前記半導体チャネルの前記ドープされた部分および前記ドープされた半導体層は、N型のドープされたポリシリコンをそれぞれ含む、請求項1または2に記載の3Dメモリデバイス。
  4. 前記半導体チャネルの前記ドープされた部分は、前記第1の方向とは反対の第2の方向に、前記導電層のうちの1つを越えて延在している、請求項1から3のいずれか一項に記載の3Dメモリデバイス。
  5. 前記導電層のうちの前記1つは、ソース選択ゲートラインを含む、請求項4に記載の3Dメモリデバイス。
  6. 前記第1の方向において前記スタック構造体と前記ドープされた半導体層の別の一部との間に充填層をさらに含む、請求項1から5のいずれか一項に記載の3Dメモリデバイス。
  7. 前記充填層は、ポリシリコン、高誘電率(高k)誘電体、または金属を含む、請求項6に記載の3Dメモリデバイス。
  8. 前記ドープされた半導体層と接触しているソース接触部をさらに含む、請求項1から7のいずれか一項に記載の3Dメモリデバイス。
  9. 前記メモリフィルムの一方の端部は、前記スタック構造体の対応する表面と同一平面上にあるかまたはそれを越えている、請求項1から8のいずれか一項に記載の3Dメモリデバイス。
  10. 前記3Dメモリデバイスは、消去動作を実施するときにゲート誘導ドレインリーケージ(GIDL)支援型のボディバイアスを発生させるように構成されている、請求項1から9のいずれか一項に記載の3Dメモリデバイス。
  11. 交互配置された導電層および誘電体層を含むスタック構造体と、
    ドープされた半導体層と、
    前記スタック構造体を通って前記ドープされた半導体層まで延在するチャネル構造体であって、前記チャネル構造体は、メモリフィルムおよび半導体チャネルを含む、チャネル構造体と、
    を含み、
    前記半導体チャネルは、ドープされた部分を含み、前記半導体チャネルの前記ドープされた部分は、前記ドープされた半導体層と前記ドープされた半導体層の最も近くにある前記導電層のうちの1つとの間にある、3次元(3D)メモリデバイス。
  12. 前記半導体チャネルの前記ドープされた部分のドーピング濃度、および、前記ドープされた半導体層のドーピング濃度は、それぞれ、1019cm-3から1021cm-3の間にある、請求項11に記載の3Dメモリデバイス。
  13. 前記半導体チャネルの前記ドープされた部分および前記ドープされた半導体層は、N型のドープされたポリシリコンをそれぞれ含む、請求項11または12に記載の3Dメモリデバイス。
  14. 前記導電層のうちの前記1つは、ソース選択ゲートラインを含む、請求項11から13のいずれか一項に記載の3Dメモリデバイス。
  15. 前記ドープされた半導体層の一部は、前記半導体チャネルの前記ドープされた部分と接触している、請求項11から14のいずれか一項に記載の3Dメモリデバイス。
  16. 前記スタック構造体および前記ドープされた半導体層の別の一部との間に充填層をさらに含む、請求項15に記載の3Dメモリデバイス。
  17. 前記充填層は、ポリシリコン、高誘電率(高k)誘電体、または金属を含む、請求項16に記載の3Dメモリデバイス。
  18. 前記ドープされた半導体層と接触しているソース接触部をさらに含む、請求項11から17のいずれか一項に記載の3Dメモリデバイス。
  19. 前記メモリフィルムの一方の端部は、前記スタック構造体の対応する表面と同一平面上にあるかまたはそれを越えている、請求項11から18のいずれか一項に記載の3Dメモリデバイス。
  20. 前記3Dメモリデバイスは、消去動作を実施するときにゲート誘導ドレインリーケージ(GIDL)支援型のボディバイアスを発生させるように構成されている、請求項11から19のいずれか一項に記載の3Dメモリデバイス。
  21. 基板の上方に充填層を形成するステップと、
    前記充填層の上方にスタック構造体を形成するステップと、
    前記スタック構造体および前記充填層を通って延在するチャネル構造体を形成するステップであって、前記チャネル構造体は、メモリフィルムおよび半導体チャネルを含む、ステップと、
    前記充填層に面する前記半導体チャネルの一部を露出させるために、前記基板、および、前記メモリフィルムの一部を順次に除去するステップと、
    前記半導体チャネルの露出された一部と接触して、ドープされた半導体層を形成するステップと、
    前記ドープされた半導体層、および、前記ドープされた半導体層と接触している前記半導体チャネルの前記一部を局所的に活性化させるステップと、
    を含む、3次元(3D)メモリデバイスを形成するための方法。
  22. 局所的に活性化させるステップは、前記ドープされた半導体層および前記半導体チャネルの前記一部を有する閉じ込められたエリアにおいて熱を印加し、前記ドープされた半導体層および前記半導体チャネルの前記一部の中のドーパントを活性化させるステップを含む、請求項21に記載の方法。
  23. 前記閉じ込められたエリアは、前記スタック構造体と前記ドープされた半導体層との間にある、請求項22に記載の方法。
  24. 前記ドーパントは、N型ドーパントを含み、前記活性化の後に、前記ドープされた半導体層のドーピング濃度、および、前記ドープされた半導体層と接触している前記半導体チャネルの前記一部のドーピング濃度は、それぞれ、1019cm-3から1021cm-3の間にある、請求項22または23に記載の方法。
  25. 前記ドープされた半導体層を形成する前に、前記半導体チャネルの前記露出された一部をドープするステップをさらに含む、請求項21から24のいずれか一項に記載の方法。
  26. 前記基板と前記充填層との間に、第1のストップ層、第2のストップ層、および第3のストップ層を順次に形成するステップをさらに含む、請求項21から25のいずれか一項に記載の方法。
  27. 前記第1のストップ層は、酸化ケイ素または窒化ケイ素を含み、前記第2のストップ層は、酸化ケイ素またはポリシリコンを含み、前記第3のストップ層は、窒化ケイ素またはポリシリコンを含み、前記充填層は、ポリシリコンを含む、請求項26に記載の方法。
  28. 前記チャネル構造体を形成するステップは、
    前記スタック構造体、前記充填層、および前記第3のストップ層を通って延在し、前記第2のストップ層において停止するチャネルホールを形成するステップと、
    前記チャネルホールの側壁部および底部表面に沿って、前記メモリフィルムおよび前記半導体チャネルを順次に形成するステップと、
    を含む、請求項26または27に記載の方法。
  29. 前記基板、および、前記メモリフィルムの前記一部を順次に除去するステップは、
    前記基板を除去し、前記第1のストップ層において停止するステップと、
    前記第1のストップ層および前記第2のストップ層を除去し、前記第3のストップ層において停止するステップと、
    前記第3のストップ層をパターニングし、前記メモリフィルムを露出させるステップと、
    露出された前記メモリフィルムをエッチングし、前記スタック構造体と前記充填層との間のインターフェースの前でまたはそこで停止し、前記半導体チャネルの露出された一部を取り囲む凹部を形成するステップと、
    を含む、請求項26から28のいずれか一項に記載の方法。
  30. 前記ドープされた半導体層を形成するステップは、
    ポリシリコンの層を前記凹部の中へおよび前記充填層の上に堆積させるステップと、
    ポリシリコンの堆積された層をドープするステップと、
    を含む、請求項29に記載の方法。
  31. 前記ドープされた半導体層を局所的に活性化させた後に、前記ドープされた半導体層と接触してソース接触部を形成するステップをさらに含む、請求項21から30のいずれか一項に記載の方法。
  32. データを記憶するように構成されている3次元(3D)メモリデバイスであって、前記3Dメモリデバイスは、
    交互配置された導電層および誘電体層を含むスタック構造体と、
    前記スタック構造体を通って延在するチャネル構造体であって、前記チャネル構造体は、メモリフィルムおよび半導体チャネルを含み、前記半導体チャネルは、ドープされた部分およびドープされていない部分を含み、前記半導体チャネルの前記ドープされた部分の一部は、第1の方向に前記スタック構造体を越えて延在している、チャネル構造体と、
    ドープされた半導体層であって、前記ドープされた半導体層の一部は、前記スタック構造体を越えて延在する前記半導体チャネルの前記ドープされた部分の前記一部の側壁部と接触している、ドープされた半導体層と、
    を含む3Dメモリデバイスと、
    メモリコントローラであって、前記メモリコントローラは、前記3Dメモリデバイスに連結されており、前記3Dメモリデバイスを制御するように構成されている、メモリコントローラと、
    を含む、システム。
  33. 前記メモリコントローラに連結されているホストをさらに含む、請求項32に記載のシステム。
  34. 前記半導体チャネルの前記ドープされた部分のドーピング濃度、および、前記ドープされた半導体層のドーピング濃度は、それぞれ、1019cm-3から1021cm-3の間にある、請求項32または33に記載のシステム。
  35. 前記半導体チャネルの前記ドープされた部分および前記ドープされた半導体層は、N型のドープされたポリシリコンをそれぞれ含む、請求項32から34のいずれか一項に記載のシステム。
  36. 前記半導体チャネルの前記ドープされた部分は、前記第1の方向とは反対の第2の方向に、前記導電層のうちの1つを越えて延在している、請求項32から35のいずれか一項に記載のシステム。
  37. 前記導電層のうちの前記1つは、ソース選択ゲートラインを含む、請求項36に記載のシステム。
  38. 前記第1の方向において、前記スタック構造体と前記ドープされた半導体層の別の一部との間に充填層をさらに含む、請求項32から37のいずれか一項に記載のシステム。
  39. 前記充填層は、ポリシリコン、高誘電率(高k)誘電体、または金属を含む、請求項38に記載のシステム。
  40. 前記ドープされた半導体層と接触しているソース接触部をさらに含む、請求項32から39のいずれか一項に記載のシステム。
  41. 前記メモリフィルムの一方の端部は、前記スタック構造体の対応する表面と同一平面上にあるかまたはそれを越えている、請求項32から40のいずれか一項に記載のシステム。
  42. 前記3Dメモリデバイスは、消去動作を実施するときにゲート誘導ドレインリーケージ(GIDL)支援型のボディバイアスを発生させるように構成されている、請求項32から41のいずれか一項に記載のシステム。
JP2023557779A 2021-03-22 2021-03-22 3次元のメモリデバイスおよびそれを形成するための方法 Pending JP2024512524A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2021/081997 WO2022198359A1 (en) 2021-03-22 2021-03-22 Three-dimensional memory devices and methods for forming the same

Publications (1)

Publication Number Publication Date
JP2024512524A true JP2024512524A (ja) 2024-03-19

Family

ID=76876005

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023557779A Pending JP2024512524A (ja) 2021-03-22 2021-03-22 3次元のメモリデバイスおよびそれを形成するための方法

Country Status (7)

Country Link
US (1) US20220302149A1 (ja)
EP (1) EP4285413A1 (ja)
JP (1) JP2024512524A (ja)
KR (1) KR20230136221A (ja)
CN (1) CN113169184A (ja)
TW (1) TW202238953A (ja)
WO (1) WO2022198359A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023024342A1 (en) * 2021-08-23 2023-03-02 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
JP2023032049A (ja) * 2021-08-26 2023-03-09 キオクシア株式会社 半導体装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130015428A (ko) * 2011-08-03 2013-02-14 삼성전자주식회사 반도체 소자
KR20130076461A (ko) * 2011-12-28 2013-07-08 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR20150085735A (ko) * 2014-01-16 2015-07-24 삼성전자주식회사 반도체 소자 및 그 제조방법
US9711524B2 (en) * 2015-01-13 2017-07-18 Sandisk Technologies Llc Three-dimensional memory device containing plural select gate transistors having different characteristics and method of making thereof
US10199359B1 (en) * 2017-08-04 2019-02-05 Sandisk Technologies Llc Three-dimensional memory device employing direct source contact and hole current detection and method of making the same
CN111149206B (zh) * 2017-11-15 2023-08-18 桑迪士克科技有限责任公司 在平台区中具有加厚字线的三维存储器器件及其制造方法
US10720445B1 (en) * 2018-02-08 2020-07-21 Sandisk Technologies Llc Three-dimensional memory device having nitrided direct source strap contacts and method of making thereof
KR102644525B1 (ko) * 2018-11-07 2024-03-07 삼성전자주식회사 수직형 반도체 소자
CN109473445B (zh) * 2018-11-09 2021-01-29 中国科学院微电子研究所 存储器件及其制造方法及包括该存储器件的电子设备
US10629613B1 (en) * 2018-11-20 2020-04-21 Sandisk Technologies Llc Three-dimensional memory device having vertical semiconductor channels including source-side boron-doped pockets and methods of making the same
CN109755254A (zh) * 2019-02-28 2019-05-14 长江存储科技有限责任公司 三维存储器及其制作方法
WO2020198944A1 (en) * 2019-03-29 2020-10-08 Yangtze Memory Technologies Co., Ltd. Memory stacks having silicon nitride gate-to-gate dielectric layers and methods for forming the same
US11018154B2 (en) * 2019-08-19 2021-05-25 Macronix International Co., Ltd. Memory device and method for fabricating the same
CN112424933B (zh) * 2020-05-27 2024-05-28 长江存储科技有限责任公司 用于形成三维存储器件的方法
EP3942612B1 (en) * 2020-05-27 2024-01-03 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
CN111937148B (zh) * 2020-05-27 2021-04-16 长江存储科技有限责任公司 三维存储器件
CN111755453B (zh) * 2020-05-29 2021-06-04 长江存储科技有限责任公司 3d存储器件及其制造方法
WO2022021175A1 (en) * 2020-07-30 2022-02-03 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory device with hydrogen-rich semiconductor channels
US11552100B2 (en) * 2020-08-05 2023-01-10 Sandisk Technologies Llc Three-dimensional memory device including a composite semiconductor channel and a horizontal source contact layer and method of making the same
US11676954B2 (en) * 2020-12-28 2023-06-13 Sandisk Technologies Llc Bonded three-dimensional memory devices with backside source power supply mesh and methods of making the same

Also Published As

Publication number Publication date
CN113169184A (zh) 2021-07-23
TW202238953A (zh) 2022-10-01
KR20230136221A (ko) 2023-09-26
US20220302149A1 (en) 2022-09-22
WO2022198359A1 (en) 2022-09-29
EP4285413A1 (en) 2023-12-06

Similar Documents

Publication Publication Date Title
TWI788837B (zh) 三維記憶體元件及其形成方法
US20220302149A1 (en) Three-dimensional memory devices and methods for forming the same
US20230005944A1 (en) Three-dimensional memory devices and methods for forming the same
CN113519055B (zh) 三维存储装置及其形成方法
US20220302151A1 (en) Three-dimensional memory devices and methods for forming the same
WO2023273477A1 (en) Three-dimensional memory devices and methods for forming the same
KR20230142796A (ko) 3차원 메모리 디바이스 및 그 형성 방법
JP2024512925A (ja) 3次元メモリデバイスおよびそれを形成するための方法
US20230005941A1 (en) Three-dimensional memory devices and methods for forming the same
US20230413541A1 (en) Three-dimensional memory devices and methods for forming the same
TWI840014B (zh) 記憶體裝置、記憶體系統及形成記憶體裝置的方法
US20240164100A1 (en) Three-dimensional memory devices
WO2024103569A1 (en) Three-dimensional memory devices
US20240224520A1 (en) Memory device containing tsg deck and method of forming the same
TW202329427A (zh) 記憶體裝置、記憶體系統及形成記憶體裝置的方法
KR20230012058A (ko) 기판의 매립 정지층을 이용한 3차원 반도체 디바이스 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230920

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230920