JP2023111329A - プラズマ処理方法及びプラズマ処理システム - Google Patents

プラズマ処理方法及びプラズマ処理システム Download PDF

Info

Publication number
JP2023111329A
JP2023111329A JP2022013135A JP2022013135A JP2023111329A JP 2023111329 A JP2023111329 A JP 2023111329A JP 2022013135 A JP2022013135 A JP 2022013135A JP 2022013135 A JP2022013135 A JP 2022013135A JP 2023111329 A JP2023111329 A JP 2023111329A
Authority
JP
Japan
Prior art keywords
film
gas
plasma processing
chamber
processing method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022013135A
Other languages
English (en)
Inventor
智彦 新関
Tomohiko Niizeki
幕樹 戸村
Maju Tomura
嘉英 木原
Yoshihide Kihara
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2022013135A priority Critical patent/JP2023111329A/ja
Priority to CN202310058506.7A priority patent/CN116525431A/zh
Priority to TW112102579A priority patent/TW202347493A/zh
Priority to KR1020230010285A priority patent/KR20230117529A/ko
Priority to US18/103,207 priority patent/US20230245898A1/en
Publication of JP2023111329A publication Critical patent/JP2023111329A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

Figure 2023111329000001
【課題】マスク膜に対する選択比を高める技術を提供する。
【解決手段】チャンバを有するプラズマ処理装置において実行されるプラズマ処理方法が提供される。この方法は(a)有機膜と、有機膜上に形成されたマスク膜とを有する基板をチャンバ内に提供する工程であって、マスク膜はシリコン含有膜とシリコン含有膜上に形成された炭素含有膜とを含む工程と、(b)チャンバ内で、酸素含有ガス、及び、Si又はWとハロゲンとを含有するガス、を含む処理ガスからプラズマを生成する工程と、を備える。(b)は、(b1)マスク膜の少なくとも炭素含有膜に保護膜を形成する工程と、(b2)保護膜が形成されたマスク膜を介して、有機膜をエッチングする工程と、を含む。
【選択図】図5

Description

本開示の例示的実施形態は、プラズマ処理方法及びプラズマ処理システムに関する。
特許文献1には、シリコン含有膜をエッチングする方法が開示されている。
特開2010-109373号公報
本開示は、マスク膜に対する選択比を高める技術を提供する。
本開示の一つの例示的実施形態において、チャンバを有するプラズマ処理装置において実行されるプラズマ処理方法であって、(a)有機膜と前記有機膜上に形成されたマスク膜とを有する基板をチャンバ内に提供する工程であって、前記マスク膜はシリコン含有膜と前記シリコン含有膜上に形成された炭素含有膜とを含む工程と、(b)前記チャンバ内で、酸素含有ガス、及び、Si又はWとハロゲンとを含有するガス、を含む処理ガスからプラズマを生成する工程と、を備え、前記(b)は、(b1)前記マスク膜の少なくとも前記炭素含有膜に保護膜を形成する工程と、(b2)前記保護膜が形成された前記マスク膜を介して、前記有機膜をエッチングする工程と、を含む、プラズマ処理方法が提供される。
本開示の一つの例示的実施形態によれば、マスク膜に対する選択比を高める技術を提供することができる。
例示的なプラズマ処理システムを概略的に示す図である。 本処理方法を示すフローチャートである。 工程ST1で提供される基板Wの断面構造の一例を模式的に示す図である。 工程ST3の開始直後の基板Wの断面構造の一例を模式的に示す図である。 工程ST3の処理中の基板Wの断面構造の一例を模式的に示す図である。 工程ST3の処理中の基板Wの断面構造の他の例を模式的に示す図である。 実施例にかかる基板の一例を描写した図である。 変形例にかかる基板W’の断面構造の一例を模式的に示す図である。
以下、本開示の各実施形態について説明する。
一つの例示的実施形態において、チャンバを有するプラズマ処理装置において実行されるプラズマ処理方法であって、(a)有機膜と有機膜上に形成されたマスク膜とを有する基板をチャンバ内に提供する工程であって、マスク膜はシリコン含有膜とシリコン含有膜上に形成された炭素含有膜とを含む工程と、(b)チャンバ内で、酸素含有ガス、及び、Si又はWとハロゲンとを含有するガス、を含む処理ガスからプラズマを生成する工程と、を備え、(b)は、(b1)マスク膜の少なくとも炭素含有膜に保護膜を形成する工程と、(b2)保護膜が形成されたマスク膜を介して、有機膜をエッチングする工程と、を含む、プラズマ処理方法が提供される。
一つの例示的実施形態において、Si又はWとハロゲンとを含有するガスは、少なくともSiCl4を含む。
一つの例示的実施形態において、Si又はWとハロゲンとを含有するガスは、少なくともWF6を含む。
一つの例示的実施形態において、保護膜はシリコン又はタングステンを含有する。
一つの例示的実施形態において、シリコン含有膜がSiON膜である。
一つの例示的実施形態において、炭素含有膜がSOC膜又はBARC膜である。
一つの例示的実施形態において、酸素含有ガスはO2、O3、CO、CO2及びH2Oからなる群から選択される少なくとも1種を含む。
一つの例示的実施形態において、処理ガスは、硫黄含有ガスを含む。
一つの例示的実施形態において、硫黄含有ガスは、COS又はSO2を含む。
一つの例示的実施形態において、処理ガスは、ハロゲン含有ガスをさらに含む。
一つの例示的実施形態において、チャンバを有するプラズマ処理装置において実行されるプラズマ処理方法であって、(a)有機膜と、有機膜上に形成され、炭素含有膜を含むマスク膜と、を有する基板をチャンバ内に提供する工程と、(b)チャンバ内で、酸素含有ガス、及び、Si又はWとハロゲンとを含有するガス、を含む処理ガスからプラズマを生成する工程と、を備え、(b)は、(b1)マスク膜の少なくとも炭素含有膜に保護膜を形成する工程と、(b2)保護膜が形成されたマスク膜を介して、有機膜をエッチングする工程と、を含む、プラズマ処理方法が提供される。
一つの例示的実施形態において、チャンバを有するプラズマ処理装置において実行されるプラズマ処理方法であって、(a)有機膜と、有機膜上の、シリコン含有膜と、シリコン含有膜上に形成される炭素含有膜を含むマスク膜と、を有する基板をチャンバ内に提供する工程と、(b)チャンバ内に、酸素含有ガス、及びSi又はWとハロゲンとを含有する第1ハロゲン含有ガス、を含む処理ガスを供給してプラズマを生成し、マスク膜を介して、有機膜をエッチングする工程とを含み、処理ガスの総流量に対する、Si又はWと、ハロゲンを含むガスの流量が5体積%未満であるプラズマ処理方法が提供される。
一つの例示的実施形態において、チャンバ、基板支持部、処理ガス供給部及び制御部を備え、制御部は、(a)有機膜と、有機膜上に形成されたマスク膜とを有する基板をチャンバ内の基板支持部上に提供し、マスク膜は、シリコン含有膜と、シリコン含有膜上に形成された炭素含有膜とを含み、(b)処理ガス供給部により、酸素含有ガス、及びSi又はWとハロゲンとを含有するガス、を含む処理ガスをチャンバ内に供給してプラズマを生成し、(b)は、(b1)マスク膜の少なくとも炭素含有膜に保護膜を形成し、(b2)保護膜が形成されたマスク膜を介して、有機膜をエッチングする制御を実行する、プラズマ処理システムが提供される。
<プラズマ処理システムの構成例>
以下に、プラズマ処理システムの構成例について説明する。図1は、例示的なプラズマ処理システムを概略的に示す図である。
プラズマ処理システムは、誘導結合型のプラズマ処理装置1及び制御部2を含む。誘導結合型のプラズマ処理装置1は、プラズマ処理チャンバ10、ガス供給部20、電源30及び排気システム40を含む。プラズマ処理チャンバ10は、誘電体窓を含む。また、プラズマ処理装置1は、基板支持部11、ガス導入部及びアンテナ14を含む。基板支持部11は、プラズマ処理チャンバ10内に配置される。アンテナ14は、プラズマ処理チャンバ10上又はその上方(すなわち誘電体窓101上又はその上方)に配置される。プラズマ処理チャンバ10は、誘電体窓101、プラズマ処理チャンバ10の側壁102及び基板支持部11により規定されたプラズマ処理空間10sを有する。プラズマ処理チャンバ10は、少なくとも1つの処理ガスをプラズマ処理空間10sに供給するための少なくとも1つのガス供給口と、プラズマ処理空間からガスを排出するための少なくとも1つのガス排出口とを有する。プラズマ処理チャンバ10は接地される。
基板支持部11は、本体部111及びリングアセンブリ112を含む。本体部111は、基板Wを支持するための中央領域111aと、リングアセンブリ112を支持するための環状領域111bとを有する。ウェハは基板Wの一例である。本体部111の環状領域111bは、平面視で本体部111の中央領域111aを囲んでいる。基板Wは、本体部111の中央領域111a上に配置され、リングアセンブリ112は、本体部111の中央領域111a上の基板Wを囲むように本体部111の環状領域111b上に配置される。したがって、中央領域111aは、基板Wを支持するための基板支持面とも呼ばれ、環状領域111bは、リングアセンブリ112を支持するためのリング支持面とも呼ばれる。
一実施形態において、本体部111は、基台1110及び静電チャック1111を含む。基台1110は、導電性部材を含む。基台1110の導電性部材はバイアス電極として機能し得る。静電チャック1111は、基台1110の上に配置される。静電チャック1111は、セラミック部材1111aとセラミック部材1111a内に配置される静電電極1111bとを含む。セラミック部材1111aは、中央領域111aを有する。一実施形態において、セラミック部材1111aは、環状領域111bも有する。なお、環状静電チャックや環状絶縁部材のような、静電チャック1111を囲む他の部材が環状領域111bを有してもよい。この場合、リングアセンブリ112は、環状静電チャック又は環状絶縁部材の上に配置されてもよく、静電チャック1111と環状絶縁部材の両方の上に配置されてもよい。また、後述するRF(Radio Frequency)電源31及び/又はDC(Direct Current)電源32に結合される少なくとも1つのRF/DC電極がセラミック部材1111a内に配置されてもよい。この場合、少なくとも1つのRF/DC電極がバイアス電極として機能する。なお、基台1110の導電性部材と少なくとも1つのRF/DC電極とが複数のバイアス電極として機能してもよい。また、静電電極1111bがバイアス電極として機能してもよい。したがって、基板支持部11は、少なくとも1つのバイアス電極を含む。
リングアセンブリ112は、1又は複数の環状部材を含む。一実施形態において、1又は複数の環状部材は、1又は複数のエッジリングと少なくとも1つのカバーリングとを含む。エッジリングは、導電性材料又は絶縁材料で形成され、カバーリングは、絶縁材料で形成される。
また、基板支持部11は、静電チャック1111、リングアセンブリ112及び基板のうち少なくとも1つをターゲット温度に調節するように構成される温調モジュールを含んでもよい。温調モジュールは、ヒータ、伝熱媒体、流路1110a、又はこれらの組み合わせを含んでもよい。流路1110aには、ブラインやガスのような伝熱流体が流れる。一実施形態において、流路1110aが基台1110内に形成され、1又は複数のヒータが静電チャック1111のセラミック部材1111a内に配置される。また、基板支持部11は、基板Wの裏面と中央領域111aとの間の間隙に伝熱ガスを供給するように構成された伝熱ガス供給部を含んでもよい。
ガス導入部は、ガス供給部20からの少なくとも1つの処理ガスをプラズマ処理空間10s内に導入するように構成される。一実施形態において、ガス導入部は、中央ガス注入部(CGI:Center Gas Injector)13を含む。中央ガス注入部13は、基板支持部11の上方に配置され、誘電体窓101に形成された中央開口部に取り付けられる。中央ガス注入部13は、少なくとも1つのガス供給口13a、少なくとも1つのガス流路13b、及び少なくとも1つのガス導入口13cを有する。ガス供給口13aに供給された処理ガスは、ガス流路13bを通過してガス導入口13cからプラズマ処理空間10s内に導入される。なお、ガス導入部は、中央ガス注入部13に加えて又はその代わりに、側壁102に形成された1又は複数の開口部に取り付けられる1又は複数のサイドガス注入部(SGI:Side Gas Injector)を含んでもよい。
ガス供給部20は、少なくとも1つのガスソース21及び少なくとも1つの流量制御器22を含んでもよい。一実施形態において、ガス供給部20は、少なくとも1つの処理ガスを、それぞれに対応のガスソース21からそれぞれに対応の流量制御器22を介してガス導入部に供給するように構成される。各流量制御器22は、例えばマスフローコントローラ又は圧力制御式の流量制御器を含んでもよい。さらに、ガス供給部20は、少なくとも1つの処理ガスの流量を変調又はパルス化する1又はそれ以上の流量変調デバイスを含んでもよい。
電源30は、少なくとも1つのインピーダンス整合回路を介してプラズマ処理チャンバ10に結合されるRF電源31を含む。RF電源31は、少なくとも1つのRF信号(RF電力)を少なくとも1つのバイアス電極及びアンテナ14に供給するように構成される。これにより、プラズマ処理空間10sに供給された少なくとも1つの処理ガスからプラズマが形成される。したがって、RF電源31は、プラズマ処理チャンバ10において1又はそれ以上の処理ガスからプラズマを生成するように構成されるプラズマ生成部の少なくとも一部として機能し得る。また、バイアスRF信号を少なくとも1つのバイアス電極に供給することにより、基板Wにバイアス電位が発生し、形成されたプラズマ中のイオンを基板Wに引き込むことができる。
一実施形態において、RF電源31は、第1のRF生成部31a及び第2のRF生成部31bを含む。第1のRF生成部31aは、アンテナ14に結合され、少なくとも1つのインピーダンス整合回路を介してプラズマ生成用のソースRF信号(ソースRF電力)を生成するように構成される。一実施形態において、ソースRF信号は、10MHz~150MHzの範囲内の周波数を有する。一実施形態において、第1のRF生成部31aは、異なる周波数を有する複数のソースRF信号を生成するように構成されてもよい。生成された1又は複数のソースRF信号は、アンテナ14に供給される。
第2のRF生成部31bは、少なくとも1つのインピーダンス整合回路を介して少なくとも1つのバイアス電極に結合され、バイアスRF信号(バイアスRF電力)を生成するように構成される。バイアスRF信号の周波数は、ソースRF信号の周波数と同じであっても異なっていてもよい。一実施形態において、バイアスRF信号は、ソースRF信号の周波数よりも低い周波数を有する。一実施形態において、バイアスRF信号は、100kHz~60MHzの範囲内の周波数を有する。一実施形態において、第2のRF生成部31bは、異なる周波数を有する複数のバイアスRF信号を生成するように構成されてもよい。生成された1又は複数のバイアスRF信号は、少なくとも1つのバイアス電極に供給される。また、種々の実施形態において、ソースRF信号及びバイアスRF信号のうち少なくとも1つがパルス化されてもよい。
また、電源30は、プラズマ処理チャンバ10に結合されるDC電源32を含んでもよい。DC電源32は、バイアスDC生成部32aを含む。一実施形態において、バイアスDC生成部32aは、少なくとも1つのバイアス電極に接続され、バイアスDC信号を生成するように構成される。生成されたバイアスDC信号は、少なくとも1つのバイアス電極に印加される。
種々の実施形態において、バイアスDC信号は、パルス化されてもよい。この場合、電圧パルスのシーケンスが少なくとも1つのバイアス電極に印加される。電圧パルスは、矩形、台形、三角形又はこれらの組み合わせのパルス波形を有してもよい。一実施形態において、DC信号から電圧パルスのシーケンスを生成するための波形生成部がバイアスDC生成部32aと少なくとも1つのバイアス電極との間に接続される。したがって、バイアスDC生成部32a及び波形生成部は、電圧パルス生成部を構成する。電圧パルスは、正の極性を有してもよく、負の極性を有してもよい。また、電圧パルスのシーケンスは、1周期内に1又は複数の正極性電圧パルスと1又は複数の負極性電圧パルスとを含んでもよい。なお、バイアスDC生成部32aは、RF電源31に加えて設けられてもよく、第2のRF生成部31bに代えて設けられてもよい。
アンテナ14は、1又は複数のコイルを含む。一実施形態において、アンテナ14は、同軸上に配置された外側コイル及び内側コイルを含んでもよい。この場合、RF電源31は、外側コイル及び内側コイルの双方に接続されてもよく、外側コイル及び内側コイルのうちいずれか一方に接続されてもよい。前者の場合、同一のRF生成部が外側コイル及び内側コイルの双方に接続されてもよく、別個のRF生成部が外側コイル及び内側コイルに別々に接続されてもよい。
排気システム40は、例えばプラズマ処理チャンバ10の底部に設けられたガス排出口10eに接続され得る。排気システム40は、圧力調整弁及び真空ポンプを含んでもよい。圧力調整弁によって、プラズマ処理空間10s内の圧力が調整される。真空ポンプは、ターボ分子ポンプ、ドライポンプ又はこれらの組み合わせを含んでもよい。
制御部2は、本開示において述べられる種々の工程をプラズマ処理装置1に実行させるコンピュータ実行可能な命令を処理する。制御部2は、ここで述べられる種々の工程を実行するようにプラズマ処理装置1の各要素を制御するように構成され得る。一実施形態において、制御部2の一部又は全てがプラズマ処理装置1に含まれてもよい。制御部2は、処理部2a1、記憶部2a2及び通信インターフェース2a3を含んでもよい。制御部2は、例えばコンピュータ2aにより実現される。処理部2a1は、記憶部2a2からプログラムを読み出し、読み出されたプログラムを実行することにより種々の制御動作を行うように構成され得る。このプログラムは、予め記憶部2a2に格納されていてもよく、必要なときに、媒体を介して取得されてもよい。取得されたプログラムは、記憶部2a2に格納され、処理部2a1によって記憶部2a2から読み出されて実行される。媒体は、コンピュータ2aに読み取り可能な種々の記憶媒体であってもよく、通信インターフェース2a3に接続されている通信回線であってもよい。処理部2a1は、CPU(Central Processing Unit)であってもよい。記憶部2a2は、RAM(Random Access Memory)、ROM(Read Only Memory)、HDD(Hard Disk Drive)、SSD(Solid State Drive)、又はこれらの組み合わせを含んでもよい。通信インターフェース2a3は、LAN(Local Area Network)等の通信回線を介してプラズマ処理装置1との間で通信してもよい。
図2は、一つの例示的実施形態に係るプラズマ処理方法(以下「本処理方法」ともいう。)を示すフローチャートである。各工程における処理は、図1に示すプラズマ処理システムで実行されてよい。以下では、制御部2がプラズマ処理装置1の各部を制御して、基板Wに対して本処理方法を実行する場合を例に説明する。図2は、基板Wを提供する工程ST1と、処理ガスを供給する工程ST2と、プラズマを生成する工程ST3とを含む。
(工程ST1:基板の提供)
工程ST1において、基板Wは、プラズマ処理装置1のプラズマ処理空間10s内に提供される。基板Wは、基板支持部11の上面に提供され、静電チャック1111により基板支持部11に保持される。
図3は、工程ST1で提供される基板Wの断面構造の一例を示す図である。基板Wは、下地膜UF上に、エッチング対象膜EF及びマスク膜MFがこの順で積層されている。マスク膜MFは、複数層で形成されてよく、例えば、シリコン含有膜SFと炭素含有膜CFとの二層で形成されてよい。基板Wは、DRAM、3D-NANDフラッシュメモリ等の半導体メモリデバイスを含む半導体デバイスの製造に用いられてよい。
下地膜UFは、例えば、シリコンウェハやシリコンウェハ上に形成された有機膜、誘電体膜、金属膜、半導体膜等でよい。下地膜UFは、複数の膜が積層されて構成されてよい。例えば、下地膜UFは、シリコン酸化膜と多結晶シリコン膜又はシリコン酸化膜とシリコン窒化膜とが交互に積層されて構成されてよい。
エッチング対象膜EFは、有機膜であり、例えば、SOC(Spin On Carbon)膜、ACL(Amorphous Carbon Layer)膜等でよい。
基板Wが下地膜UFの下にほかの膜をさらに有し、エッチング対象膜EF及び下地膜UFの積層膜が多層マスクとして機能してもよい。すなわち、エッチング対象膜EF及び下地膜UFの積層膜を多層マスクとして、当該他の膜をエッチングしてもよい。
マスク膜MFは、エッチング対象膜EFの上面に形成されている。一つの例示的な実施形態において、マスク膜MFはシリコン含有膜SFと当該シリコン含有膜上に形成された炭素含有膜CFとの二層で構成されてよい。なお、後述するように、マスク膜MFは、炭素含有膜CFの一層で構成されてもよい。
シリコン含有膜SFはSiを含有している膜であればよく、例えば、シリコン酸化膜、シリコン窒化膜、シリコン酸窒化膜(SiON膜)、BSi膜、WSi膜、Si-ARC(Silicon-Anti Reflection Coating)膜等でよい。
炭素含有膜CFは炭素を含有している膜であればよく、例えば、SOC膜、BARC(Bottom Anti Reflection Coating)膜等でよい。
図3に示すとおり、マスク膜MFは少なくとも一つの開口OPを有する。開口OPは、マスク膜の側面ssによって規定される。開口OPは、マスク膜の側面ssに囲まれた、エッチング対象膜EF上の空間である。すなわち、図3において、エッチング対象膜EFの上面は、マスク膜MFに覆われた部分と、開口OPによって露出した部分とを有する。開口OPは、基板Wの平面視(基板Wを図3の上から下へ向かう方向に見た場合)において、任意の形状を有してよい。当該形状は、例えば、円、楕円、矩形、線やこれらの1種類以上を組み合わせた形状であってよい。マスク膜MFは、複数の開口OPを有してよい。複数の開口OPは、それぞれ穴形状を有し、一定の間隔で配列されたアレイパターンを構成してよい。また、複数の開口OPは、それぞれ線形状を有し、一定の間隔で並んでライン&スペースのパターンを構成してもよい。基板Wを構成する各膜(下地膜UF、エッチング対象膜EF、マスク膜MF)は、それぞれ、CVD(Chemical Vapor Deposition)法、ALD(Atomic Layer Deposition)法、スピンコート法等により形成されてよい。上記各膜は、平坦な膜であってよく、また、凹凸を有する膜であってもよい。マスク膜MFの開口OPは、マスク膜MFをエッチングすることで形成されてよい。
基板Wの各膜を形成するプロセスの少なくとも一部は、プラズマ処理チャンバ10の空間内で行われてよい。一例では、マスク膜MFをエッチングして開口OPを形成する工程は、プラズマ処理チャンバ10で実行されてよい。すなわち、開口OP及び後述するエッチング対象膜EFのエッチングは、同一のチャンバ内で連続して実行されてよい。また、基板Wの各膜の全部又は一部がプラズマ処理装置1の外部の装置やチャンバで形成された後、基板Wがプラズマ処理空間10s内に搬入され、基板支持部11の上面に配置されることで基板が提供されてもよい。
(工程ST2:処理ガスの供給)
工程ST2において、ガス供給部20から処理ガスがプラズマ内部空間10sに供給される。
処理ガスは、酸素含有ガスを含むとともに、Si又はWとハロゲンとを含有するガス(以下本明細書において「Si/Wガス」ともいう。)を含む。酸素含有ガスは、О2ガス、COガス及びCO2ガスからなる群から選択される少なくとも1種を含む。
Si/Wガスは、SiF4ガス、SiCl4ガス、WF6ガス及びWCl4ガスからなる群から選択される少なくとも1種を含んでよい。Si/Wガスの流量は、処理ガスの総流量に対して、5体積%未満としてよい。
処理ガスは、硫黄含有ガスをさらに含んでよい。硫黄含有ガスは、COS及びSF6からなる群から選択される少なくとも1種を含んでよい。
処理ガスは、Si/Wガスとは別のハロゲン含有ガスをさらに含んでよい。当該別のハロゲン含有ガスは、CHF3ガス、CF4ガス、NF3ガス、SF6ガス、IF7ガス、HFガス、HBrガス、Cl2ガス、BCl3ガス及びBr2ガスからなる群から選択される少なくとも1種でよい。
(工程ST3:プラズマの生成)
工程ST3において、プラズマ処理空間10s内に供給された処理ガスからプラズマが生成される。
図4は、工程ST3の処理開始直後の基板Wの断面構造の一例を模式的に示す図である。本処理方法において、Si/Wガス中のSi又はWはプラズマ中で解離し、炭素含有膜CFの上面ts及び側面ss1に堆積する。これにより、炭素含有膜CFに保護膜PFが形成される。図4に示すとおり、炭素含有膜CFの側面ss1に形成される保護膜PFの膜厚は、上面tsに形成される保護膜PFの膜圧より薄い。これは、プラズマ中のSi又はWが、炭素含有膜CFに対しては異方的に堆積するためであると考えられる。なお、本発明者らの実験によれば、マスク膜MFがシリコン含有膜SFのみで形成されている場合は、このような異方的な堆積は観察されなかった。プラズマ中のSi又はWは、シリコン含有膜SFに等方的に堆積し、シリコン含有膜SFの側面にも上面と同程度の保護膜が形成された。
保護膜PFはSi又はW又はこれらの酸化物を含む。保護膜PFは、プラズマ中の酸素の活性種との反応性が炭素含有膜CFに比べて低い。保護膜は、工程ST3の実行中、プラズマ中の酸素の活性種によって炭素含有膜CFがエッチングされることを抑制する。なお、保護膜PFはシリコン含有膜の側面ss2にも形成されてよい。すなわち、保護膜は、マスク膜MFの側面ss全体にわたって形成されてよい。
図5は、工程ST3の処理中の基板Wの断面構造の一例を模式的に示す図である。工程ST3の実行中、プラズマ中の酸素の活性種等が基板Wに引きよせられ、エッチング対象膜EFのエッチングが進行する。すなわち、エッチング対象膜EFのうちマスク膜MFの開口OPに対応する部分が深さ方向(図の上から下に向かう方向)にエッチングされ、凹部が形成される。工程ST3の実行中、プラズマ中のイオン等の衝突により保護膜PFは多少除去され得るが、Si又はWの堆積も同時に継続しているので、工程ST3の実行中、保護膜PFが完全に除去されてしまうことはない。なお、工程ST3の実行中、Si/Wガスの流量は一定であっても、一定でなくてもよい。例えば、工程ST3の開始から一定時間経過後、Si/Wガスの流量を減少させてよい。Si/Wガスの流量は、工程ST3の開始直後に保護膜PFを形成させるに足る流量である必要はあるが、一定時間経過後は、保護膜PFの減少を補う程度の流量で足りるからである。
本処理方法によれば、工程ST3の開始直後に、炭素含有膜CFに保護膜PFが形成される。上述のとおり、保護膜PFは、工程ST3の実行中、プラズマ中の酸素の活性種によって炭素含有膜CFがエッチングされてしまうことを抑制できる。工程ST3の実行中、炭素含有膜CFが除去されず残れば、当然、その下層のシリコン含有膜SFも残る。すなわち、本処理方法によれば、マスク膜MFに対する選択比を格段に向上させることができる。
また、上述のとおり、炭素含有膜CFの側面ss1に形成される保護膜PFの膜厚は、上面tsに形成される保護膜PFの膜圧より薄い。これにより、保護膜PFが炭素含有膜CFの側面ss1に堆積し、開口OPの幅が狭くなることが抑制できる。ひいては、エッチング対象膜EFに形成される凹部の形状異常(ボーイングや先細り)を抑制することができる。
図6は、工程ST3の処理中の基板Wの断面構造の他の例を模式的に示す図である。図6に示すように、炭素含有膜CFは、工程ST3の実行中に、徐々に幅方向(図の左右方向)内側に向かって縮み得る。この場合、保護膜PFは幅方向に縮んだ炭素含有膜CFに沿って形成されるので、保護膜PFが幅方向に張り出すことがより抑制される。これにより、開口OPの幅が狭くなることが抑制されるので、エッチング対象膜EFに形成される凹部の形状異常が抑制され得る。
<実施例>
次に、本処理方法の実施例について説明する。本開示は、以下の実施例によって何ら限定されるものではない。
図7は実施例1~3にかかる基板の一例を描写した図である。実施例1~3では、プラズマ処理装置1を用いて本処理方法を適用し、基板Wのエッチング対象膜EFをエッチングした。基板Wの下地膜UFとして、ポリシリコンを用い、エッチング対象膜EFとしてACL膜を用いた。マスク膜MFはシリコン含有膜SFと炭素含有膜CFの二層で形成され、シリコン含有膜SFとしてSiON膜、炭素含有膜CFとしてBARC膜を用いた。実施例1~3は、処理ガスとして、O2ガス、SiCl4ガス及びCl2ガスを用いた。実施例1~3では、処理ガスの総流量に対するSiCl4ガスの流量比をそれぞれ、4体積%、6体積%、8体積%とした。
図7のA~Cは、それぞれ、実施例1~3にかかるエッチング後のエッチング対象膜EFの断面構造を描画した図である。図7に示すとおり、処理ガスの総流量に対する、SiCl4ガスの流量比が低いほど、エッチングによりエッチング対象膜EFに形成される凹部が先細りせず、凹部の底面が広かった(WA>WB>WC)。すなわち、エッチングにより形成される凹部の垂直性が向上した。これは、保護膜PFの形成に寄与するSiCl4ガスの流量が少ないことに伴い、マスク膜MFの側面ssに堆積する保護膜PFの堆積量が少なくなり、マスク膜MFの閉塞がより抑制されたためであると考えられる。
<本処理方法の他の例>
本処理方法は、本開示の範囲及び趣旨から逸脱することなく種々の変形をなし得る。
例えば、図8は、変形例にかかる基板W’の断面構造の一例を模式的に示す図である。基板W′はマスク膜MFが、炭素含有膜CF一層で構成されている点で図3の基板Wと異なる。この基板W’を用いた場合でも、工程ST3の開始直後に炭素含有膜CF上に保護膜PFが形成されるので、工程ST3の実行中、炭素含有膜CFをマスクとして十分に機能させ得る。
また例えば、工程ST3の実行中に、開口OPの開口幅を広げる(De-clogging)工程を1又は複数回行ってよい。具体的には、プラズマ処理空間10s内に例えばH2ガスとNF3ガスを含む処理ガスを供給してプラズマを生成し、炭素含有膜CFの側面ss1に形成される保護膜PFの一部を除去するようにしてよい。これにより、工程ST3の実行中に、保護膜PFが幅方向に張り出すこと、すなわち、開口OPの幅が狭くなることが抑制される。ひいては、エッチング対象膜EFに形成される凹部の形状異常が抑制され得る。
また例えば、本処理方法は、誘導結合型のプラズマ処理装置1以外にも、容量結合型プラズマやマイクロ波プラズマ等、任意のプラズマ源を用いたプラズマ処理装置を用いて実行してよい。
1……プラズマ処理装置、2……制御部、10……プラズマ処理チャンバ、10s……プラズマ処理空間、11……基板支持部、20……ガス供給部、PF……保護膜、MF……マスク膜、CF……炭素含有膜、SF……シリコン含有膜、EF……エッチング対象膜、UF…下地膜、W、W’…基板、OP……開口、ts……炭素含有膜の上面(マスク膜の上面)、ss……マスク膜の側面、ss1……炭素含有膜の側面、ss2……シリコン含有膜の側面

Claims (13)

  1. チャンバを有するプラズマ処理装置において実行されるプラズマ処理方法であって、
    (a)有機膜と、前記有機膜上に形成されたマスク膜とを有する基板をチャンバ内に提供する工程であって、前記マスク膜はシリコン含有膜と前記シリコン含有膜上に形成された炭素含有膜とを含む工程と、
    (b)前記チャンバ内で、酸素含有ガス、及び、Si又はWとハロゲンとを含有するガス、を含む処理ガスからプラズマを生成する工程と、を備え、
    前記(b)は、
    (b1)前記マスク膜の少なくとも前記炭素含有膜に保護膜を形成する工程と、
    (b2)前記保護膜が形成された前記マスク膜を介して、前記有機膜をエッチングする工程と、を含む、プラズマ処理方法。
  2. 前記Si又はWとハロゲンとを含有するガスは、少なくともSiCl4を含む、請求項1に記載のプラズマ処理方法。
  3. 前記Si又はWとハロゲンとを含有するガスは、少なくともWF6を含む、請求項1に記載のプラズマ処理方法。
  4. 前記保護膜はシリコン又はタングステンを含有する、請求項1に記載のプラズマ処理方法。
  5. 前記シリコン含有膜がSiON膜である、請求項1から4のいずれか1項に記載のプラズマ処理方法。
  6. 前記炭素含有膜がSOC膜又はBARC膜である、請求項1から5のいずれか1項に記載のプラズマ処理方法。
  7. 前記酸素含有ガスはO2、O3、CO、CO2及びH2Oからなる群から選択される少なくとも1種を含む、請求項1から6のいずれか1項に記載のプラズマ処理方法。
  8. 前記処理ガスは、硫黄含有ガスを含む、請求項1から7のいずれか1項に記載のプラズマ処理方法。
  9. 前記硫黄含有ガスは、COS又はSO2を含む、請求項8に記載のプラズマ処理方法。
  10. 前記処理ガスは、ハロゲン含有ガスをさらに含む、請求項1から9のいずれか1項に記載のプラズマ処理方法。
  11. チャンバを有するプラズマ処理装置において実行されるプラズマ処理方法であって、
    (a)有機膜と、前記有機膜上に形成されたマスク膜とを有する基板をチャンバ内に提供する工程であって、前記マスク膜は炭素含有膜を含む工程と、
    (b)前記チャンバ内で、酸素含有ガス、及び、Si又はWとハロゲンとを含有するガス、を含む処理ガスからプラズマを生成する工程と、を備え、
    前記(b)は、
    (b1)前記マスク膜の少なくとも前記炭素含有膜に保護膜を形成する工程と、
    (b2)前記保護膜が形成された前記マスク膜を介して、前記有機膜をエッチングする工程と、を含む、プラズマ処理方法。
  12. チャンバを有するプラズマ処理装置において実行されるプラズマ処理方法であって、
    (a)有機膜と、前記有機膜上に形成されたマスク膜とを有する基板をチャンバ内に提供する工程であって、前記マスク膜は、シリコン含有膜と前記シリコン含有膜上に形成された炭素含有膜とを含む工程と、
    (b)前記チャンバ内に、酸素含有ガス、及びSi又はWとハロゲンとを含有するガス、を含む処理ガスを供給してプラズマを生成し、前記マスク膜を介して、前記有機膜をエッチングする工程と、を含み、
    前記処理ガスの総流量に対する、前記Si又はWとハロゲンとを含有するガスの流量が5体積%未満である、プラズマ処理方法。
  13. チャンバ、基板支持部、処理ガス供給部及び制御部を備え、
    前記制御部は、
    (a)有機膜と、前記有機膜上に形成されたマスク膜とを有する基板を前記チャンバ内の前記基板支持部上に提供し、前記マスク膜は、シリコン含有膜と、前記シリコン含有膜上に形成された炭素含有膜とを含み、
    (b)前記処理ガス供給部により、酸素含有ガス、及びSi又はWとハロゲンとを含有するガス、を含む処理ガスを前記チャンバ内に供給してプラズマを生成し、
    前記(b)は、
    (b1)前記マスク膜の少なくとも前記炭素含有膜に保護膜を形成し、
    (b2)前記保護膜が形成された前記マスク膜を介して、前記有機膜をエッチングする制御を実行する、
    プラズマ処理システム。
JP2022013135A 2022-01-31 2022-01-31 プラズマ処理方法及びプラズマ処理システム Pending JP2023111329A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2022013135A JP2023111329A (ja) 2022-01-31 2022-01-31 プラズマ処理方法及びプラズマ処理システム
CN202310058506.7A CN116525431A (zh) 2022-01-31 2023-01-17 等离子体处理方法以及等离子体处理系统
TW112102579A TW202347493A (zh) 2022-01-31 2023-01-19 電漿處理方法及電漿處理系統
KR1020230010285A KR20230117529A (ko) 2022-01-31 2023-01-26 플라즈마 처리 방법 및 플라즈마 처리 시스템
US18/103,207 US20230245898A1 (en) 2022-01-31 2023-01-30 Plasma processing method and plasma processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2022013135A JP2023111329A (ja) 2022-01-31 2022-01-31 プラズマ処理方法及びプラズマ処理システム

Publications (1)

Publication Number Publication Date
JP2023111329A true JP2023111329A (ja) 2023-08-10

Family

ID=87406970

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022013135A Pending JP2023111329A (ja) 2022-01-31 2022-01-31 プラズマ処理方法及びプラズマ処理システム

Country Status (5)

Country Link
US (1) US20230245898A1 (ja)
JP (1) JP2023111329A (ja)
KR (1) KR20230117529A (ja)
CN (1) CN116525431A (ja)
TW (1) TW202347493A (ja)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394722B2 (en) 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control

Also Published As

Publication number Publication date
US20230245898A1 (en) 2023-08-03
TW202347493A (zh) 2023-12-01
KR20230117529A (ko) 2023-08-08
CN116525431A (zh) 2023-08-01

Similar Documents

Publication Publication Date Title
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
US9673058B1 (en) Method for etching features in dielectric layers
KR102096119B1 (ko) 플라즈마 에칭 방법 및 플라즈마 처리 장치
JP2023111329A (ja) プラズマ処理方法及びプラズマ処理システム
US20230268190A1 (en) Plasma processing method and plasma processing system
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
JP7348672B2 (ja) プラズマ処理方法及びプラズマ処理システム
JP7250895B2 (ja) エッチング方法及びプラズマ処理装置
WO2024117212A1 (ja) エッチング方法及びプラズマ処理装置
WO2023048281A1 (ja) プラズマ処理方法及びプラズマ処理システム
WO2023127820A1 (ja) エッチング方法及びプラズマ処理装置
US20230100292A1 (en) Plasma processing method and plasma processing system
US20230420263A1 (en) Etching method and plasma processing apparatus
WO2023214575A1 (ja) プラズマ処理方法及びプラズマ処理装置
US20220238348A1 (en) Substrate processing method and substrate processing apparatus
WO2024043185A1 (ja) プラズマ処理方法及びプラズマ処理システム
JP2024013628A (ja) エッチング方法及びプラズマ処理装置
JP2023109496A (ja) エッチング方法及びプラズマ処理装置
KR20220136136A (ko) 에칭 방법 및 에칭 처리 장치
JP2023109497A (ja) エッチング方法及びプラズマ処理装置
JP2024010798A (ja) プラズマ処理方法及びプラズマ処理システム
JP2024006972A (ja) プラズマ処理方法及びプラズマ処理システム
KR20240047315A (ko) 에칭 방법 및 플라즈마 처리 장치
KR20230044943A (ko) 에칭 방법 및 에칭 장치
TW202243000A (zh) 基板處理方法及基板處理裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240312