JP2022541409A - ナノシートの直接印刷および自己整合ダブル・パターニング - Google Patents

ナノシートの直接印刷および自己整合ダブル・パターニング Download PDF

Info

Publication number
JP2022541409A
JP2022541409A JP2022500956A JP2022500956A JP2022541409A JP 2022541409 A JP2022541409 A JP 2022541409A JP 2022500956 A JP2022500956 A JP 2022500956A JP 2022500956 A JP2022500956 A JP 2022500956A JP 2022541409 A JP2022541409 A JP 2022541409A
Authority
JP
Japan
Prior art keywords
patterning
mandrels
stack
mask
nanosheet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022500956A
Other languages
English (en)
Other versions
JPWO2021009606A5 (ja
Inventor
シーグ、スチュアート
デヘネ、ダニエル、ジェームス
ミラー、エリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2022541409A publication Critical patent/JP2022541409A/ja
Publication of JPWO2021009606A5 publication Critical patent/JPWO2021009606A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導体構造体を形成する方法は、基板の上に犠牲材料およびチャネル材料の交互層を含むナノシート・スタックを形成することを含み、チャネル材料の層が1つまたは複数のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する。本方法はまた、ナノシート・スタックの上にハード・マスク・スタックを形成することと、ハード・マスク・スタックの上にパターニング層を形成することと、を含む。本方法は、パターニング層の上にリソグラフィ・マスクをパターニングすることをさらに含み、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための1つまたは複数の第1の領域と、(ii)自己整合ダブル・パターニングを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための1つまたは複数の第2の領域と、を画定する。第2の幅は、第1の幅よりも小さい。

Description

本出願は、半導体に関し、より詳細には、半導体構造体を形成するための技術に関する。
半導体および集積回路チップは、特にコストおよびサイズが減少し続けるにつれて、多くの製品の至るところで見られるようになった。構造的特徴のサイズを縮小すること、または所与のチップ・サイズに対してより多くの構造的特徴を提供すること、あるいはその両方が引き続き望まれている。小型化により、一般に、より低い電力レベルおよびより低いコストで性能を向上させることができる。現在の技術は、論理ゲート、電界効果トランジスタ(FET)、およびコンデンサなどの特定のマイクロ・デバイスの原子レベル・スケーリングにあるか、またはそれに近づきつつある。
本発明の実施形態は、同じマスクを使用して、直接印刷ナノシートおよび自己整合ダブル・パターニング・ナノシートの両方を形成するための技術を提供する。
本発明の一態様において、半導体構造体を形成する方法は、基板の上に犠牲材料およびチャネル材料の交互層を含むナノシート・スタックを形成することを含み、チャネル材料の層は、1つまたは複数のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する。本方法はまた、ナノシート・スタックの上にハード・マスク・スタックを形成することと、ハード・マスク・スタックの上にパターニング層を形成することと、を含む。本方法は、パターニング層の上にリソグラフィ・マスクをパターニングすることをさらに含み、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための1つまたは複数の第1の領域と、(ii)自己整合ダブル・パターニングを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための1つまたは複数の第2の領域と、を画定する。第2の幅は、第1の幅よりも小さい。
別の態様において、半導体構造体を形成する方法は、基板の上に犠牲材料およびチャネル材料の交互層を含むナノシート・スタックを形成することを含み、チャネル材料の層は、1つまたは複数のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する。本方法はまた、ナノシート・スタックの上にハード・マスク・スタックを形成することと、ハード・マスク・スタックの上にパターニング層を形成することと、を含む。本方法は、パターニング層の上にリソグラフィ・マスクをパターニングすることをさらに含み、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための、パターニング層の上面の1つまたは複数の第1の領域と、(ii)自己整合ダブル・パターニングを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための、パターニング層の上面の1つまたは複数の第2の領域と、を覆う。第2の幅は、第1の幅よりも小さい。
別の態様において、半導体構造体を形成する方法は、基板の上に犠牲材料およびチャネル材料の交互層を含むナノシート・スタックを形成することを含み、チャネル材料の層は、1つまたは複数のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する。本方法はまた、ナノシート・スタックの上にハード・マスク・スタックを形成することと、ハード・マスク・スタックの上にパターニング層を形成することと、を含む。本方法は、パターニング層の上にリソグラフィ・マスクをパターニングすることをさらに含み、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための、パターニング層の上面の1つまたは複数の第1の領域と、(ii)自己整合ダブル・パターニングを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための、パターニング層の上面の1つまたは複数の第2の領域と、を露出させる。第2の幅は、第1の幅よりも小さい。
別の態様では、半導体構造体は、基板と、基板の上に配置されたナノシート・スタックとを備え、ナノシート・スタックは、犠牲材料およびチャネル材料の交互層を備え、チャネル材料の層は、1つまたは複数のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する。半導体構造体はまた、ナノシート・スタックの上に配置されたハード・マスク・スタックと、ハード・マスク・スタックの上に配置されたパターニング層と、を備える。半導体構造体は、パターニング層上に配置されたリソグラフィ・マスクをさらに備え、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための1つまたは複数の第1の領域と、(ii)自己整合ダブル・パターニングを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための1つまたは複数の第2の領域と、を画定する。第2の幅は、第1の幅よりも小さい。
本発明の一実施形態による、半導体層スタックの側面断面図である。 本発明の一実施形態による、リソグラフィ・マスクのパターニング後の図1の構造体の側面断面図である。 本発明の一実施形態による、パターニング層の露出部分のエッチングおよびリソグラフィ・マスクの除去後の図2の構造体の側面断面図である。 本発明の一実施形態による、スペーサ材料の形成後の図3の構造体の側面断面図である。 本発明の一実施形態による、スペーサ材料のエッチ・バック後の図4の構造体の側面断面図である。 本発明の一実施形態による、ブロック・マスクのパターニングおよび露出したパターニング・マンドレルの除去後の図5の構造体の側面断面図である。 本発明の一実施形態による、ブロック・マスクの除去後の図6の構造体の側面断面図である。 本発明の一実施形態による、追加のブロック・マスクのパターニングおよびスペーサ材料の露出部分の除去後の図7の構造体の側面断面図である。 本発明の一実施形態による、追加のブロック・マスクの除去後の図8の構造体の側面断面図である。 本発明の一実施形態による、上部ハード・マスク層のエッチング後の図9の構造体の側面断面図である。 本発明の一実施形態による、残っているパターニング・マンドレルの除去後の図10の構造体の側面断面図である。 本発明の一実施形態による、残っているスペーサ材料および最上部の残っているハード・マスク層のエッチング後の図11の構造体の側面断面図である。 本発明の一実施形態による、最後のハード・マスク層のエッチング後の図12の構造体の側面断面図である。 本発明の一実施形態による、パディング層を開口し、ナノシート・スタックの露出部分および基板の一部分をエッチングした後の図13の構造体の側面断面図である。 本発明の一実施形態による、リソグラフィ・マスクのパターニング後の図1の構造体の側面断面図である。 本発明の一実施形態による、パターニング層の露出部分の除去およびリソグラフィ・マスクの除去後の図15の構造体の側面断面図である。 本発明の一実施形態による、酸化物材料の充填およびエッチ・バック後の図16の構造体の側面断面図である。 本発明の一実施形態による、酸化物材料の一部を露出させるためのブロック・マスクのパターニング後の図17の構造体の側面断面図である。 本発明の一実施形態による、酸化物材料の露出部分を除去し、ブロック・マスクを除去した後の図18の構造体の側面断面図である。 本発明の一実施形態による、スペーサの堆積およびエッチ・バック後の図19の構造体の側面断面図である。 本発明の実施形態による、パターニング層の残っている部分の除去後の図20の構造体の側面断面図である。 本発明の一実施形態による、上部ハード・マスク層の開口後の図21の構造体の側面断面図である。 本発明の一実施形態による、ナノシート・スタックの露出部分および基板の一部分のエッチング後の図22の構造体の側面断面図である。
本発明の例示的な実施形態は、同じマスクを使用して直接印刷ナノシートおよび自己整合ダブル・パターニング・ナノシートの両方を形成するための例示的な方法の文脈において本明細書に記載される。しかしながら、本発明の実施形態は、例示的な方法、装置、システム、およびデバイスに限定されず、代わりに、他の適切な方法、装置、システム、およびデバイスにより広く適用可能であることを理解されたい。
FETは、ソース、ゲート、およびドレインを有し、ソースとドレインとの間のゲートを通過するチャネルに沿った多数キャリアの流れに依存する動作を有するトランジスタである。ソースとドレインとの間のチャネルを流れる電流は、ゲートの下の横方向電界によって制御することができる。ゲートの長さは、FETがどの程度速く切り替わるかを決定し、チャネルの長さ(ソースとドレインとの間の距離など)とほぼ同じにすることができる。
一部のFETでは、チャネルを制御するために2つ以上のゲートまたはマルチ・ゲート構成が使用される場合がある。マルチ・ゲートFETは、相補型金属酸化膜半導体(CMOS)FET技術をスケールダウンする有望な候補である。しかしながら、(シングル・ゲートFETと比較して)マルチ・ゲートFETに関連付けられた寸法が小さいため、短チャネル効果、パンチスルー、金属酸化物半導体(MOS)リーク電流、およびマルチ・ゲートFETに存在する寄生抵抗などの性能問題をよりよく制御する必要がある。
FETのサイズを縮小するために、様々な技術を使用することができる。1つの技術は、FinFETデバイスにおいてフィン形状チャネルを使用することによるものである。FinFET構成が出現する前は、CMOSデバイスは、典型的には、チャネルの上部に配置されたFETゲートを除いて、半導体基板の表面に沿って実質的に平面であった。FinFETは、縦型チャネル構造を利用して、ゲートに露出するチャネルの表面積を増加させる。したがって、FinFET構造では、ゲートがチャネルの2つ以上の側面または表面にわたって延在するため、ゲートは、チャネルをより効果的に制御することができる。一部のFinFET構成では、ゲートは、従来の平面チャネルの上面だけに配置されるのではなく、3次元チャネルの3つの表面を囲む。
FETのサイズを縮小するのに有用な別の技術は、半導体基板の上に形成された積層ナノシート・チャネルの使用によるものである。積層ナノシートは、1~100ナノメートル(nm)程度の厚さ範囲を有するシートなどの2次元ナノ構造であってもよい。ナノシートおよびナノワイヤは、7nmを超えてスケーリングするための実現可能な選択肢である。ナノシート・スタックを形成するための一般的なプロセス・フローは、シリコン(Si)で形成されることがあるチャネル材料のシート間の、シリコン・ゲルマニウム(SiGe)で形成されることがある犠牲層を除去することを含む。
ナノシート印刷のためのプロセス選択肢は、単一マスクによる直接印刷、または2つのマスク処理ソリューションによる直接印刷および自己整合ダブル・パターニング(SADP)に依拠することがある。一部のデバイス(例えば、静的ランダム・アクセス・メモリ(SRAM)構造など)で使用される小さいナノシート幅では、直接印刷プロセスで生成されるばらつきがデバイス動作にとって十分でない場合がある。一例として、寸法がフィン幅に近づくにつれて、SADPが必要になる場合がある。しかしながら、ナノシート・スタックは、様々なデバイス幅の使用を可能にすることで様々な利点を提供する。(例えば、直接印刷およびSADPのための)複数マスク・ソリューションは、複数マスクがオーバーレイ(OL)の劣化をもたらすため、問題がある。このようなOLの劣化は、様々なデバイス特徴(例えば、ゲートおよびソース/ドレインのオーバーレイなど)に対して(例えば、寄生容量において)下流の影響を引き起こすスプリット・レベルに一部起因することがある。
上記のように、SRAM構造などの一部のデバイス構造は、ナノシート幅を変化させることで恩恵を受ける。SRAMは、高速、低消費電力、および単純な操作を提供するメモリ・デバイスの一種である。ダイナミック・ランダム・アクセス・メモリ(DRAM)とは異なり、SRAMは、記憶されたデータを定期的にリフレッシュする必要がなく、簡単な設計を有する。SRAMセルは、様々な数のトランジスタを使用して形成されることがある。
6トランジスタ(6T)SRAMセルは、マイクロプロセッサ回路の一次メモリとして広く使用されている。6T SRAMセルは、第1のビット線ノード(BL)、第1の出力ノード(Q)、およびワード線ノード(WL)に接続された第1のn型FETデバイス(nFET)を含むことができる。6T SRAMセルの第2のnFETデバイスは、Qノード、接地ノード(例えば、VSS)、および第2の出力ノード(Q’)に接続されている。第1のp型FETデバイス(pFET)は、Qノード、Q’ノード、および電圧源または電源ノード(例えば、VDD)に接続されている。第2のpFETデバイスは、VDDノード、Qノード、およびQ’ノードに接続されている。第3のnFETデバイスは、VSSノード、Qノード、およびQ’ノードに接続されている。第4のnFETデバイスは、第2のビット線ノード(BLB)、WLノード、およびQ’ノードに接続されている。第1および第4のnFETデバイスは、6T SRAMセルのパスゲート(PG)トランジスタであり、第2および第3のnFETデバイスは、6T SRAMセルのプルダウン(PD)トランジスタであり、第1および第2のpFETデバイスは、6T SRAMセルのプルアップ(PU)トランジスタである。
ナノシート・トランジスタを使用して形成された6T SRAMセルでは、セル内の様々なnFETデバイスおよびpFETデバイスに異なるナノシート幅を使用することが望ましい場合がある。例えば、ナノシート幅は、6T SRAMセルのpFETデバイスよりも6T SRAMセルのnFETデバイスの方が大きい場合がある。しかしながら、これは要件ではなく、実施形態は、pFETデバイスに対して、nFETデバイスよりも小さいナノシート幅を形成することに限定されないことを理解されたい。さらに、本明細書に記載される技術は、SRAM構造の形成に使用することに限定されるものではなく、代わりに、異なるナノシート幅が望まれるナノシートFETを形成するためにより一般的に適用可能である。
ここで、同じマスクを使用する直接印刷およびSADPの両方を使用して異なる幅のナノシートを形成するための例示的なプロセスを、図1~図23に関してさらに詳細に説明する。
図1は、基板102と、犠牲材料およびチャネル材料の交互層104、106を含むナノシート・スタックと、パディング層108と、ハード・マスク層110、112および114と、パターニング層116と、を含む半導体層スタックの側面断面図100を示す。
基板102は、バルク・シリコン(Si)から形成された半導体構造体であってもよいが、様々なシリコン含有材料などの他の適切な材料が使用されてもよい。基板102に適したシリコン含有材料の例示的な例としては、Si、シリコン・ゲルマニウム(SiGe)、シリコン・ゲルマニウム・カーバイド(SiGeC)、シリコン・カーバイド(SiC)、ポリシリコン、エピタキシャル・シリコン、アモルファス・シリコン、およびこれらの多層が挙げられるが、これらに限定されない。シリコンは、ウエハ製造において主に使用される半導体材料であるが、代替の半導体材料として、ゲルマニウム(Ge)、ガリウム・ヒ素(GaAs)、窒化ガリウム(GaN)、テルル化カドミウム(CdTe)、およびセレン化亜鉛(ZnSe)などを用いることができるが、これらに限定されない。別の実施形態では、基板102は、シリコン・オン・インシュレータ(SOI)ウエハであってもよい。当技術分野で知られているように、SOIウエハは、埋め込み絶縁体によって基板から分離されたSOI層を含む。適切な基板材料としては、Si、歪みSi、炭化ケイ素(SiC)、Ge、SiGe、SiGeC、Si合金、Ge合金、GaAs、インジウム・ヒ素(InAs)、インジウム・リン(InP)、またはこれらの任意の組合せが挙げられるが、これらに限定されない。埋め込み絶縁体に適した誘電体材料としては、二酸化ケイ素(SiO)などの酸化物材料が挙げられるが、これに限定されない。埋め込み絶縁体が酸化物である場合、埋め込み絶縁体は、埋め込み酸化物またはBOXと呼ばれることもある。
基板102は、所望に応じて(例えば、形成されるデバイス構造の数に基づいて)幅または水平方向厚さ(X-X’方向の)が変化してもよい。基板102は、300マイクロメートル(μm)~1000μmの範囲の高さまたは垂直厚さ(Y-Y’方向の)を有することができる。
犠牲材料104およびチャネル材料106の交互層を含むナノシート・スタックが、基板102の上に形成される。図1は、ナノシート・スタック内に3つの犠牲層104および3つのチャネル層106が存在する例を示すが、ナノシート・スタックは、犠牲材料およびチャネル材料の3組の交互層よりも多いまたは少ない交互層を含むことができることを理解されたい。
犠牲層104は、チャネル材料106に対して選択的にエッチングされ得る任意の適切な材料から形成されてもよい。チャネル層106がSiである場合、犠牲層104は、SiGeであってもよい。チャネル層106がインジウム・ガリウム・ヒ素(InGaAs)である場合、犠牲層104はインジウム・アルミニウム・ヒ素(InAlAs)であってもよい。III-V族材料の様々な他の組合せが使用されてもよい。犠牲層104の材料は、チャネル層106の材料に対して選択的に除去することができるものである。犠牲層104およびチャネル層106はそれぞれ、4nm~15nmの範囲の厚さを有することができる。犠牲層104とチャネル層106のナノシート・スタックは、基板102の上にエピタキシャル成長させることができる。
パディング層108は、図示されるように(例えば、化学気相堆積(CVD)、物理的気相堆積(PVD)、または別の適切な酸化物堆積プロセスを使用して)ナノシート・スタックの上に形成されている。パディング層108は、二酸化ケイ素(SiO)などの酸化物で形成されてもよい。パディング層108は、1nm~10nmの範囲の高さまたは垂直厚さ(Y-Y’方向の)を有することができる。
ハード・マスク層110、112および114は、パディング層108の上に形成されている。一部の実施形態では、ハード・マスク層110および114は、窒化ケイ素(SiN)などの窒化物材料であり、ハード・マスク層112は、SiOなどの酸化物材料である。そのような場合、ハード・マスク層110、112および114は、一まとまりとなって窒化物-酸化物-窒化物(NON)ハード・マスクを提供する。ハード・マスク層110、112および114は、高密度プラズマ(HDP)CVD(HDPCVD)、プラズマCVD(PECVD)、CVDなどを使用して形成することができる。ハード・マスク層110は、10nm~50nmの範囲の高さまたは垂直厚さ(Y-Y’方向の)を有することができる。ハード・マスク層112は、10nm~50nmの範囲の高さまたは垂直厚さ(Y-Y’方向の)を有することができる。ハード・マスク層114は、10nm~50nmの範囲の高さまたは垂直厚さ(Y-Y’方向の)を有することができる。
パターニング層116は、ハード・マスク層114の上に形成される。パターニング層116は、アモルファス・シリコン(a-Si)またはアモルファス・カーボン(a-C)などの別の適切な材料で形成されてもよい。パターニング層116は、CVD、PVDなどの任意の適切な堆積プロセスを使用して形成することができる。パターニング層116は、10nm~200nmの範囲の高さまたは垂直厚さ(Y-Y’方向の)を有することができる。
図2は、図示されるように、リソグラフィおよびエッチングを行って、パターニング層116の上に部分118-1、118-2および118-3を有するリソグラフィ・マスク(総称してリソグラフィ・マスク118)を形成した後の図1の構造体の側面断面図200を示す。リソグラフィ・マスク118は、フォトレジストで形成することができる。リソグラフィ・マスク118は、20nm~1000nmの範囲の高さまたは垂直厚さ(Y-Y’方向の)を有することができる。
リソグラフィ・マスク部分118-1および118-3の幅または水平厚さ(方向X-X’に)は、5nm~2000nmの範囲にあってもよい。リソグラフィ・マスク部分118-1および118-3は、後述する処理後に、下にあるデバイス構造のためのナノシートの幅の直接印刷制御を提供する。例えば、リソグラフィ・マスク部分118-1および118-3を使用して、下にあるナノシート・スタックから形成される下にあるn型FET(nFET)のためのデバイス幅を制御することができる。
リソグラフィ・マスク部分118-2の幅または水平厚さ(方向X-X’に)は、5nm~200nmの範囲にあってもよい。リソグラフィ・マスク部分118-2は、後述する処理後に、下にあるデバイス構造のためのナノシートの幅のSADP制御を提供する。例えば、リソグラフィ・マスク部分118-2を使用して、下にあるナノシート・スタックから形成される下にあるp型FET(pFET)のデバイス幅を制御することができる。
以下でさらに詳細に説明するように、図2のリソグラフィ・マスク118の部分の数およびサイジングを使用して、下にあるナノシート・スタックからナノシートFETの特定の構成(例えば、リソグラフィ・マスク部分118-1および118-3を用いて直接印刷を使用して一対のnFETデバイス、およびリソグラフィ・マスク部分118-2を用いてSADPを使用して一対のpFETデバイス)を形成する。異なる数およびサイズのマスク層を使用して、様々な幅のナノシートFETの様々な他の組合せを形成できることを理解されたい。
図3は、リソグラフィ・マスク118によって露出されたパターニング層116の一部分を(例えば、a-Si反応性イオンエッチング(RIE)を使用して)エッチングした後の図2の構造体の側面断面図300を示す。次いで、リソグラフィ・マスク118が除去される。その結果、パターニング層116のマンドレル116-1、116-2および116-3が残る。
図4は、スペーサ材料120の形成後の図3の構造体の側面断面図400を示す。スペーサ材料120は、原子層堆積(ALD)または別の適切なプロセスを使用して形成することができる。スペーサ材料120は、金属酸化物、SiOなどの酸化物で形成することができる。スペーサ材料120は、5nm~60nmの範囲の均一な厚さを有することができる。スペーサ材料120の厚さは、以下でさらに詳細に説明されるように、下にあるナノシート・スタックから形成されるFET(例えば、pFET)のサイズを制御する。
図5は、スペーサ材料120をエッチ・バックして、パターニング・マンドレル116-1、116-2および116-3の側壁上に側壁スペーサ120’が得られた後の図4の構造体の側面断面図500を示す。
図6は、図示されるように、パターニング・マンドレル116-1および116-3の側壁を取り囲むスペーサ材料120’に加えて、パターニング・マンドレル116-1および116-3を覆う部分122-1および122-2(総称して、ブロック・マスク122)を含むブロック・マスクのパターニング後の図5の構造体の側面断面図600を示す。このステップは、「pFETオープン」ステップと呼ばれることがあり、ブロック・マスク122によって露出されたスペーサ材料120’が、(例えば、SADPを使用して)下にあるナノシート・スタックからpFETデバイスを形成するために使用される。ブロック・マスク122は、スピンオン・コーティングまたは他の適切な処理を使用して、適切な有機平坦化層(OPL)材料で形成することができる。ブロック・マスク122の高さまたは垂直厚さ(Y-Y’方向の)は、100nm~1000nmの範囲にあってもよい。図6は、反応性イオンエッチング(RIE)を使用するなどして、露出したパターニング・マンドレル116-2を除去した後の構造をさらに示している。
図7は、ブロック・マスク122を除去した後の図6の構造体の側面断面図700を示す。ブロック・マスク122は、ドライ・アッシング、湿式洗浄などを使用して除去することができる。
図8は、除去されたパターニング・マンドレル116-2を取り囲むスペーサ材料120’を覆う別のブロック・マスク124をパターニングして、パターニング・マンドレル116-1および116-3ならびにパターニング・マンドレル116-1および116-3の側壁上のスペーサ材料120’を露出させた後の側面断面図800を示す。ブロック・マスク124は、ブロック・マスク122と同様の処理および同様のサイジング(Y-Y’方向の)を有する同様の材料で形成することができる。このステップは、「nFETオープン」ステップと呼ばれることがあり、パターニング・マンドレル116-1および116-2が、下にあるナノシート・スタックからnFETデバイスを直接印刷するために使用される。図8は、パターニング・マンドレル116-1および116-3の側壁を取り囲むスペーサ材料120’の除去をさらに示している。
図9は、ブロック・マスク124を除去した後の図8の構造体の側面断面図900を示す。ブロック・マスク124は、ブロック・マスク122の除去に関して上述した処理と同様の処理を用いて除去することができる。
図10は、残っているパターニング・マンドレル116-1および116-3ならびに残っているスペーサ側壁120’によって露出されたハード・マスク層114のエッチング後の図9の構造体の側面断面図1000を示す。ハード・マスク層114は、RIEまたは他の適切な処理を使用してエッチングすることができる。その結果、パターニング・マンドレル116-1および116-3ならびに残っている側壁スペーサ120’の下にのみハード・マスク層114’が残る。
図11は、パターニング・マンドレル116-1および116-3の除去後の図10の構造体の側面断面図1100を示す。パターニング・マンドレル116-1および116-3は、パターニング・マンドレル116-2の除去に関して上述したものと同様の処理を使用して除去することができる。
図12は、残っている側壁スペーサ120’およびハード・マスク層112をエッチングして、ハード・マスク層112’がハード・マスク層114’の下にのみ残るようにした後の図11の構造体の側面断面図1200を示す。上記のように、ハード・マスク層112および側壁スペーサ120’は両方とも、酸化物で形成されてもよく、RIEまたは他の適切な処理を使用して除去することができる。
図13は、図示するようにハード・マスク層110をエッチングして、パディング層108を露出させ、ハード・マスク層110’がハード・マスク層112’の下にのみ残るようにした後の図12の構造体の側面断面図1300を示す。ハード・マスク層110は、ハード・マスク層114のエッチングに関して上述したものと同様の処理を使用してエッチングすることができる。このステップにより、上述したようにハード・マスク層110と同じ材料(例えば、窒化物)で形成することができる残っているハード・マスク層114’も除去される。
図14は、パディング層108を開口し、ナノシート・スタックの露出部分を基板102の一部にまでエッチングした後の図13の構造体の側面断面図1400を示す。酸化物で形成することができるパディング層108は、ハード・マスク層112のエッチングに関して上述したものと同様の処理を使用して開口することができる。このステップにより、残っているハード・マスク層112’も除去される。
ナノシート・スタックの犠牲層104およびチャネル層106を、基板102とともに、RIEまたは別の適切なプロセスを使用してエッチングすることができ、そのような層の部分104’、106’、および102’が図示されるように残るようにする。基板102をエッチングして基板102’を形成することにより、結果として、ナノシート・スタックの犠牲層104’およびチャネル層106’の残っている部分の下の基板102’の上にフィン103-1~103-4が形成される。
上記のように、一部の実施形態では、フィン103-1および103-4は、nFETナノシート・トランジスタを形成するために使用することができ、一方、フィン103-2および103-3は、pFETナノシート・トランジスタを形成するために使用される。有利なことに、フィン103-1および103-4の直接印刷の使用ならびにフィン103-2および103-3のSADPの使用には、主に特徴の配置を画定するための単一のリソグラフィ・マスクのみが必要であり、それによって、他の方法ではゲートおよびソース/ドレインのパターニングに影響を及ぼす(例えば、結果として生じる構造の寄生容量に影響を及ぼす)OLペナルティの低減または劣化を低減する。さらに、そのような技術により、異なるナノシート幅を有するデバイスの形成が可能となり、様々なデバイス(例えば、SRAM構造を含む)のさらなるスケーリングが可能になる。
図15~図23は、図1の構造とは異なる幅のナノシート・デバイスを形成するために、直接印刷およびSADPの両方を使用するための別のプロセスを示す。図2~図14は、図14の構造体を形成するための「ポジ」型プロセスを示すが、図15~図23は、図23の構造体を形成するための「ネガ」型プロセスを示す。
図15は、リソグラフィ・マスク118に関して上述したものと同様の材料で、同様の処理で、および同様のサイジング(Y-Y’方向の)で形成することができるリソグラフィ・マスク1518のパターニング後の図1の構造体の側面断面図1500を示す。リソグラフィ・マスク118は、下にあるパターニング層116が残る場所(例えば、パターニング・マンドレル116-1、116-2および116-3)を画定するためのポジ型を提供するが、リソグラフィ・マスク1518は、下にあるパターニング層116が、後述するさらなる処理の後に残る場所を画定するためのネガ型を提供する。
図16は、(例えば、図3に関して上述したものと同様の処理を使用して)パターニング層116の露出部分を除去し、図示するようなパターニング・マンドレル1516が得られた後の図15の構造体の側面断面図1600を示す。次いで、リソグラフィ・マスク118の除去に関して上述したものと同様の処理を使用して、リソグラフィ・マスク1518が除去される。
図17は、酸化物材料1517の充填およびエッチ・バック後の図16の構造体の側面断面図1700を示す。酸化物材料1517は、スピンオン・コーティング、堆積充填、または別の適切なプロセスを使用して形成され、パターニング層116の露出部分の除去またはエッチングによって形成された空間を過剰充填する(例えば、パターニング・マンドレル1516間の空間を充填する)ことができる。エッチ・バックを使用して、酸化物材料1517を平坦化し、パターニング・マンドレル1516の上面にさせる。酸化物材料1517は、酸化ケイ素(SiO)、金属酸化物などを含むことができる。
図18は、本構造体の中央の酸化物材料1517の一部分を露出させるブロック・マスク1519のパターニング後の図17の構造体の側面断面図1800を示す(例えば、pFETデバイスは、上述のように下にあるナノシート・スタックから形成される)。ブロック・マスク1519は、ブロック・マスク122および124の材料と同様の材料で、同様の処理を用いて、同様のサイジング(Y-Y’方向の)で形成することができる。
図19は、酸化物層1517’が残るように酸化物層1517の露出部分を除去した後の図18の構造体の側面断面図1900を示す。次いで、ブロック・マスク1519は、ブロック・マスク122および124の除去に関して上述したものと同様の処理を使用して除去される。
図20は、スペーサ材料1520の堆積およびエッチ・バック後の図19の構造体の側面断面図2000を示す。スペーサ材料1520は、スペーサ材料120に関して上述したものと同様の材料で、同様のサイジングで形成することができる。
図21は、パターニング層116ならびにパターニング・マンドレル116-1、116-2および116-3の除去に関して上述したものと同様の処理を使用して、パターニング・マンドレル1516を除去した後の図20の構造体の側面断面図2100を示す。
図22は、残っている酸化物層1517’およびスペーサ材料1520によって露出されたハード・マスク層114、112および110の開口後の図21の構造体の側面断面図2200を示す。ハード・マスク層114、112および110の露出部分は、図9~図13に関連してこれらの層をエッチングすることに関して上述したものと同様の処理を使用して除去することができる。パディング層108も、上述したものと同様の処理を使用して開口される。その結果、図22の構造体は、パディング層108、ハード・マスク層110、およびハード・マスク層112の残っている部分1508、1510、および1512をそれぞれ含む。
図23は、ナノシート・スタックの露出部分を基板102の一部にまでエッチングし、チャネル層106、犠牲層104、ならびに基板102の部分1506、1504、および1502がそれぞれ残るようにした後の図22の構造体の側面断面図2300を示す。これにより、フィン103と同様のフィン1503-1、1503-2、1503-3および1504-4(総称してフィン1504)が形成される。ナノシート・スタックの犠牲層104およびチャネル層106は、基板102とともに、図14に関して上述したものと同様の処理を使用してエッチングすることができる。
図14の構造体と同様に、フィン1503-1および1503-4は、nFETナノシート・トランジスタを形成するために使用することができ、一方、フィン1503-2および1503-3は、pFETナノシート・トランジスタを形成するために使用される。有利なことに、フィン1503-1および1503-4の直接印刷の使用ならびにフィン1503-2および1503-3のSADPの使用には、主に特徴の配置を画定するための単一のリソグラフィ・マスクのみが必要であり、それによって、他の方法ではゲートおよびソース/ドレインのパターニングに影響を及ぼす(例えば、結果として生じる構造の寄生容量に影響を及ぼす)OLペナルティの低減または劣化を低減する。さらに、そのような技術により、異なるナノシート幅を有するデバイスの形成が可能となり、様々なデバイス(例えば、SRAM構造を含む)のさらなるスケーリングが可能になる。
図14および図23に示される構造体は、ナノシートFETを形成するために様々な追加の処理を受けることができる。これには、例えば、フィン103/1503を取り囲むシャロー・トレンチ・アイソレーション(STI)領域の形成、ダミー・ゲート構造の形成およびパターニング、ソース/ドレイン領域のエピタキシャル成長、内部スペーサの形成、置換金属ゲート(RMG)プロセスを使用してゲート構造を形成するための犠牲層の除去、ゲート構造およびソース/ドレイン領域へのコンタクトの形成などが含まれることがある。
一部の実施形態では、半導体構造体を形成する方法は、基板の上に犠牲材料およびチャネル材料の交互層を含むナノシート・スタックを形成することを含み、チャネル材料の層が1つまたは複数のナノシートFETのためのナノシート・チャネルを提供する。本方法はまた、ナノシート・スタックの上にハード・マスク・スタックを形成することと、ハード・マスク・スタックの上にパターニング層を形成することと、を含む。本方法は、パターニング層の上にリソグラフィ・マスクをパターニングすることをさらに含み、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための1つまたは複数の第1の領域と、(ii)SADPを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための1つまたは複数の第2の領域と、を画定する。第2の幅は、第1の幅よりも小さい。
リソグラフィ・マスクは、一部の実施形態では、リソグラフィ材料が1つまたは複数の第1の領域および1つまたは複数の第2の領域を覆うように、パターニング層上にパターニングされる。リソグラフィ・マスクは、他の実施形態では、リソグラフィ材料が1つまたは複数の第1の領域および1つまたは複数の第2の領域を露出させるように、パターニング層の上にパターニングされる。
ハード・マスク・スタックは、パディング酸化物層と、パディング酸化物層の上のNONハード・マスク・スタックとを備え得る。パターニング層は、a-Siを含んでもよい。
一部の実施形態では、半導体構造体を形成する方法は、基板の上に犠牲材料およびチャネル材料の交互層を含むナノシート・スタックを形成することを含み、チャネル材料の層が1つまたは複数のナノシートFETのためのナノシート・チャネルを提供する。本方法はまた、ナノシート・スタックの上にハード・マスク・スタックを形成することと、ハード・マスク・スタックの上にパターニング層を形成することと、を含む。本方法は、パターニング層の上にリソグラフィ・マスクをパターニングすることをさらに含み、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための、パターニング層の上面の1つまたは複数の第1の領域と、(ii)SADPを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための、パターニング層の上面の1つまたは複数の第2の領域と、を覆う。第2の幅は、第1の幅よりも小さい。
本方法は、リソグラフィ・マスクによって露出されたパターニング層の一部分をエッチングして、複数のパターニング・マンドレルを形成することと、リソグラフィ・マスクを除去することと、をさらに含むことができる。
本方法は、複数のパターニング・マンドレルと、リソグラフィ・マスクによって露出されたパターニング層の一部分のエッチングによって露出されたハード・マスク・スタックの上面の一部分と、の上にスペーサ材料を堆積させることと、スペーサ材料をエッチ・バックして、複数のパターニング・マンドレルの上面からスペーサ材料を除去し、ハード・マスク層の上面の一部分からスペーサ材料を除去し、複数のパターニング・マンドレルを取り囲む側壁スペーサを残すことと、をさらに含むことができる。
本方法は、複数のパターニング・マンドレルの少なくとも第1のサブセット、および複数のパターニング・マンドレルの第1のサブセットを取り囲む側壁スペーサを覆う第1のブロック・マスクを形成することと、複数のパターニング・マンドレルの少なくとも第2のサブセット、および複数のパターニング・マンドレルの第2のサブセットを取り囲む側壁スペーサを露出させることと、をさらに含むことができる。複数のパターニング・マンドレルの第1のサブセットは、第1の幅の1つまたは複数のフィンの直接印刷を提供し、複数のパターニング・マンドレルの第2のサブセットは、第2の幅の2つ以上のフィン間の間隔を設定するためのSADPを提供する。
本方法は、複数のパターニング・マンドレルの第2のサブセットを取り囲む側壁スペーサを残して複数のパターニング・マンドレルの第2のサブセットを除去することと、第1のブロック・マスクを除去することと、をさらに含むことができる。
本方法は、複数のパターニング・マンドレルの第2のサブセットを取り囲む側壁スペーサを覆う第2のブロック・マスクを形成することと、複数のパターニング・マンドレルの第1のサブセットおよび複数のパターニング・マンドレルの第1のサブセットを取り囲む側壁スペーサを露出させることと、をさらに含むことができる。
本方法は、第2のブロック・マスクによって露出された複数のパターニング・マンドレルの第1のサブセットを取り囲む側壁スペーサを除去することと、第2のブロック・マスクを除去することと、をさらに含むことができる。
本方法は、ハード・マスク・スタック、ナノシート・スタック、および基板の少なくとも一部をエッチングして、複数のパターニング・マンドレルの第1のサブセットの下に第1の幅の1つまたは複数のフィンを形成し、残っている側壁スペーサの下に第2の幅の1つまたは複数のフィンを形成することをさらに含み得る。
一部の実施形態では、半導体構造体を形成する方法は、基板の上に犠牲材料およびチャネル材料の交互層を含むナノシート・スタックを形成することを含み、チャネル材料の層が1つまたは複数のナノシートFETのためのナノシート・チャネルを提供する。本方法はまた、ナノシート・スタックの上にハード・マスク・スタックを形成することと、ハード・マスク・スタックの上にパターニング層を形成することと、を含む。本方法は、パターニング層の上にリソグラフィ・マスクをパターニングすることをさらに含み、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための、パターニング層の上面の1つまたは複数の第1の領域と、(ii)SADPを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための、パターニング層の上面の1つまたは複数の第2の領域と、を露出させる。第2の幅は、第1の幅よりも小さい。
本方法は、リソグラフィ・マスクによって露出されたパターニング層の一部分をエッチングして、複数のパターニング・マンドレルを形成することと、リソグラフィ・マスクを除去することと、をさらに含むことができる。
本方法は、リソグラフィ・マスクによって露出されたパターニング層の一部分のエッチングによって露出されたハード・マスク・スタックの上面の一部分の上に酸化物材料を堆積させることと、酸化物材料をエッチ・バックして、複数のパターニング・マンドレル間のハード・マスク・スタックの上面の上に複数の酸化物マンドレルを形成することと、をさらに含むことができる。
本方法は、複数の酸化物マンドレルの少なくとも第1のサブセットを覆うブロック・マスクを形成することと、複数の酸化物マンドレルの少なくとも第2のサブセットを露出させることと、をさらに含むことができる。複数の酸化物マンドレルの第1のサブセットは、第1の幅の1つまたは複数のフィンの直接印刷を提供し、複数の酸化物マンドレルの第2のサブセットは、第2の幅の2つ以上のフィン間の間隔を設定するためのSADPを提供する。
本方法は、複数の酸化物マンドレルの第2のサブセットを除去することと、ブロック・マスクを除去することと、をさらに含むことができる。
本方法は、複数の酸化物マンドレルの第2のサブセットの除去によって露出されたハード・マスク・スタックの上面の一部分の上、ならびに複数の酸化物マンドレルの第1のサブセットおよび複数のパターニング・マンドレルの上面の上にスペーサ材料を形成することと、スペーサ材料をエッチ・バックして、複数の酸化物マンドレルの第2のサブセットの除去によって露出された複数のパターニング・マンドレルの側壁に隣接して側壁スペーサを形成することと、をさらに含むことができる。
本方法は、複数のパターニング・マンドレルを除去することと、ハード・マスク・スタック、ナノシート・スタック、および基板の少なくとも一部をエッチングして、複数の酸化物マンドレルの第1のサブセットの下に第1の幅の1つまたは複数のフィンを形成し、側壁スペーサの下に第2の幅の1つまたは複数のフィンを形成することと、をさらに含むことができる。
一部の実施形態では、半導体構造体は、基板と、基板の上に配置されたナノシート・スタックとを含み、ナノシート・スタックが犠牲材料およびチャネル材料の交互層を含み、チャネル材料の層が1つまたは複数のナノシートFETのためのナノシート・チャネルを提供する。半導体構造体はまた、ナノシート・スタックの上に配置されたハード・マスク・スタックと、ハード・マスク・スタックの上に配置されたパターニング層と、を備える。半導体構造体は、パターニング層上に配置されたリソグラフィ・マスクをさらに備え、リソグラフィ・マスクは、(i)ナノシート・スタックおよび基板内に第1の幅の1つまたは複数のフィンを直接印刷するための1つまたは複数の第1の領域と、(ii)SADPを使用してナノシート・スタックおよび基板内に第2の幅の2つ以上のフィン間の間隔を設定するための1つまたは複数の第2の領域と、を画定する。第2の幅は、第1の幅よりも小さい。
リソグラフィ・マスクは、一部の実施形態では、1つまたは複数の第1の領域および1つまたは複数の第2の領域を覆う。リソグラフィ・マスクは、他の実施形態では、1つまたは複数の第1の領域および1つまたは複数の第2の領域を露出させる。
第1の幅の1つまたは複数のフィンの上のナノシート・スタックは、nFETのためのチャネルを提供することができ、第2の幅の1つまたは複数のフィンの上に配置されたナノシート・スタックは、pFETのためのチャネルを提供することができる。
図面に示される様々な層、構造、および領域は、縮尺通りに描かれていない概略図であることを理解されたい。加えて、説明を容易にするために、半導体デバイスまたは構造体を形成するために一般に使用されるタイプの1つまたは複数の層、構造、および領域は、所与の図に明示的に示されていない場合がある。これは、明示的に示されていない任意の層、構造、および領域が実際の半導体構造体から省略されていることを意味するものではない。さらに、本明細書で論じられる実施形態は、本明細書に示され、説明される特定の材料、特徴、および処理ステップに限定されないことを理解されたい。特に、半導体処理ステップに関して、本明細書で提供される説明は、機能的な半導体集積回路デバイスを形成するために必要とされ得る処理ステップのすべてを包含することは意図されていないことを強調すべきである。むしろ、例えば、湿式洗浄ステップおよびアニーリング・ステップなどの、半導体デバイスを形成する際に一般的に使用される特定の処理ステップは、説明のむだを省くために本明細書では意図的に説明されていない。
さらに、同じもしくは同様の特徴、要素、または構造を示すために、図全体を通して同じもしくは同様の参照番号が使用され、したがって、同じもしくは同様の特徴、要素、または構造の詳細な説明は、図のそれぞれについて繰り返されていない。厚さ、幅、パーセンテージ、範囲などに関して本明細書で使用される「約」または「実質的に」という用語は、正確ではないが、近いまたは近似していることを示すことが意図されていることを理解されたい。例えば、「約」または「実質的に」という用語は、本明細書で使用される場合、±5%、好ましくは2%未満もしくは1%未満または記載された量未満などのわずかな誤差が存在することを意味する。
上記の説明では、異なる要素について様々な材料および寸法が提供されている。特に断りのない限り、そのような材料は、例としてのみ与えられており、実施形態は、与えられた特定の例のみに限定されない。同様に、特に断りのない限り、すべての寸法は、例として与えられており、実施形態は、与えられた特定の寸法または範囲のみに限定されない。
上述した技術に従って半導体デバイスおよびこれを形成するため方法は、様々な用途、ハードウェア、または電子システム、あるいはその組合せにおいて使用することができる。本発明の実施形態を実施するための適切なハードウェアおよびシステムとしては、パーソナル・コンピュータ、通信ネットワーク、電子商取引システム、携帯通信機器(例えば、携帯電話およびスマート・フォン)、固体媒体記憶デバイス、機能回路などが挙げられるが、それらに限定されない。本半導体デバイスを組み込むシステムおよびハードウェアは、本発明の企図された実施形態である。本明細書で提供される教示を考慮することで、当業者は、本発明の他の実施態様および実施形態の用途を企図することができる。
一部の実施形態では、上述した技術は、例えば、相補型金属酸化膜半導体(CMOS)、金属酸化膜半導体電界効果トランジスタ(MOSFET)、またはフィン電界効果トランジスタ(FinFET)、あるいはその組合せを必要とするか、さもなければ利用することができる半導体デバイスに関連して使用される。非限定的な例として、半導体デバイスは、CMOS、MOSFET、およびFinFETデバイス、または、CMOS、MOSFETおよび/もしくはFinFET技術を使用する半導体デバイス、あるいはその組合せを含むことができるが、これらに限定されない。
上記で説明した様々な構造は、集積回路に実装することができる。結果として得られる集積回路チップは、製造者によって、ベア・ダイとして生のウエハの形態で(すなわち、複数のパッケージ化されていないチップを有する単一のウエハとして)、またはパッケージ化された形態で配布されてもよい。後者の場合、チップは、シングル・チップ・パッケージ(マザーボードまたは他のより高レベルのキャリアに取り付けられたリードを有するプラスチック・キャリアなど)、あるいはマルチチップ・パッケージ(表面配線もしくは埋込み配線のいずれかまたは両方を有するセラミック・キャリアなど)に実装される。次いで、いずれの場合も、チップは、(a)マザーボードなどの中間製品もしくは(b)最終製品のいずれかの一部として、他のチップ、ディスクリート回路素子、または他の信号処理デバイス、あるいはその組合せと一体化される。最終製品は、玩具および他のローエンドの用途からディスプレイ、キーボードまたは他の入力装置、および中央プロセッサを有する高度なコンピュータ製品に至るまでの、集積回路チップを含む任意の製品とすることができる。
本発明の様々な実施形態の説明は、例示の目的で提示されているが、網羅的であること、または開示された実施形態に限定されることは意図されていない。記載された実施形態の範囲から逸脱することなく、多くの修正形態および変形形態が当業者には明らかであろう。本明細書で使用される用語は、実施形態の原理、実際の用途、または市場で見出される技術に対する技術的改良を最も良く説明するために、あるいは当業者が本明細書に開示された実施形態を理解することができるように選択された。

Claims (23)

  1. 半導体構造体を形成する方法であって
    基板の上に犠牲材料およびチャネル材料の交互層を含むナノシート・スタックを形成することであり、前記チャネル材料の層が1つまたは複数のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する、前記形成することと、
    前記ナノシート・スタックの上にハード・マスク・スタックを形成することと、
    前記ハード・マスク・スタックの上にパターニング層を形成することと、
    前記パターニング層の上にリソグラフィ・マスクをパターニングすることであり、前記リソグラフィ・マスクが、(i)前記ナノシート・スタックおよび前記基板内に第1の幅の1つまたは複数のフィンを直接印刷するための1つまたは複数の第1の領域と、(ii)自己整合ダブル・パターニングを使用して前記ナノシート・スタックおよび前記基板内に第2の幅の2つ以上のフィン間の間隔を設定するための1つまたは複数の第2の領域と、を画定する、前記パターニングすることと、を含み、
    前記第2の幅が前記第1の幅よりも小さい、
    方法。
  2. 前記リソグラフィ・マスクが、前記リソグラフィ材料が前記1つまたは複数の第1の領域および前記1つまたは複数の第2の領域を覆うように、前記パターニング層の上にパターニングされる、請求項1に記載の方法。
  3. 前記リソグラフィ・マスクが、リソグラフィ材料が前記1つまたは複数の第1の領域および前記1つまたは複数の第2の領域を露出させるように、前記パターニング層の上にパターニングされる、請求項1に記載の方法。
  4. 前記ハード・マスク・スタックが、パディング酸化物層と、前記パディング酸化物層の上の窒化物-酸化物-窒化物ハード・マスク・スタックと、を含む、請求項1に記載の方法。
  5. 前記パターニング層が非晶質シリコン(a-Si)を含む、請求項1に記載の方法。
  6. 前記リソグラフィ・マスクによって露出された前記パターニング層の一部分をエッチングして、複数のパターニング・マンドレルを形成することと、
    前記リソグラフィ・マスクを除去することと、
    をさらに含む、請求項2に記載の方法。
  7. 前記複数のパターニング・マンドレルと、前記リソグラフィ・マスクによって露出された前記パターニング層の前記一部分のエッチングによって露出された前記ハード・マスク・スタックの上面の一部分と、の上にスペーサ材料を堆積させることと、
    前記スペーサ材料をエッチ・バックして、前記複数のパターニング・マンドレルの上面から前記スペーサ材料を除去し、前記ハード・マスク層の前記上面の一部分から前記スペーサ材料を除去し、前記複数のパターニング・マンドレルを取り囲む側壁スペーサを残すことと、
    をさらに含む、請求項6に記載の方法。
  8. 前記複数のパターニング・マンドレルの少なくとも第1のサブセット、および前記複数のパターニング・マンドレルの前記第1のサブセットを取り囲む前記側壁スペーサを覆う第1のブロック・マスクを形成することと、前記複数のパターニング・マンドレルの少なくとも第2のサブセット、および前記複数のパターニング・マンドレルの前記第2のサブセットを取り囲む前記側壁スペーサを露出させることと、をさらに含み、前記複数のパターニング・マンドレルの前記第1のサブセットが、前記第1の幅の前記1つまたは複数のフィンの直接印刷を提供し、前記複数のパターニング・マンドレルの前記第2のサブセットが、前記第2の幅の前記2つ以上のフィン間の前記間隔を設定するための自己整合ダブル・パターニングを提供する、請求項7に記載の方法。
  9. 前記複数のパターニング・マンドレルの前記第2のサブセットを除去して、前記複数のパターニング・マンドレルの前記第2のサブセットを取り囲む前記側壁スペーサを残すことと、
    前記第1のブロック・マスクを除去することと、
    をさらに含む、請求項8に記載の方法。
  10. 前記複数のパターニング・マンドレルの前記第2のサブセットを取り囲む前記側壁スペーサを覆う第2のブロック・マスクを形成することと、前記複数のパターニング・マンドレルの前記第1のサブセット、および前記複数のパターニング・マンドレルの前記第1のサブセットを取り囲む前記側壁スペーサを露出させることと、をさらに含む、請求項9に記載の方法。
  11. 前記第2のブロック・マスクによって露出された前記複数のパターニング・マンドレルの前記第1のサブセットを取り囲む前記側壁スペーサを除去することと、
    前記第2のブロック・マスクを除去することと、
    をさらに含む、請求項10に記載の方法。
  12. 前記ハード・マスク・スタック、前記ナノシート・スタック、および前記基板の少なくとも一部をエッチングして、前記複数のパターニング・マンドレルの前記第1のサブセットの下に前記第1の幅の前記1つまたは複数のフィンを形成し、残っている側壁スペーサの下に前記第2の幅の前記1つまたは複数のフィンを形成することをさらに含む、請求項11に記載の方法。
  13. 前記リソグラフィ・マスクによって露出された前記パターニング層の一部分をエッチングして、複数のパターニング・マンドレルを形成することと、
    前記リソグラフィ・マスクを除去することと、
    をさらに含む、請求項3に記載の方法。
  14. 前記リソグラフィ・マスクによって露出された前記パターニング層の前記一部分のエッチングによって露出された前記ハード・マスク・スタックの上面の一部分の上に酸化物材料を堆積させることと、
    前記酸化物材料をエッチ・バックして、前記複数のパターニング・マンドレル間の前記ハード・マスク・スタックの前記上面の上に複数の酸化物マンドレルを形成することと、
    をさらに含む、請求項13に記載の方法。
  15. 前記複数の酸化物マンドレルの少なくとも第1のサブセットを覆うブロック・マスクを形成することと、前記複数の酸化物マンドレルの少なくとも第2のサブセットを露出させることと、をさらに含み、前記複数の酸化物マンドレルの前記第1のサブセットが、前記第1の幅の前記1つまたは複数のフィンの直接印刷を提供し、前記複数の酸化物マンドレルの前記第2のサブセットが、前記第2の幅の前記2つ以上のフィン間の前記間隔を設定するための自己整合ダブル・パターニングを提供する、請求項14に記載の方法。
  16. 前記複数の酸化物マンドレルの前記第2のサブセットを除去することと、
    前記ブロック・マスクを除去することと、
    をさらに含む、請求項15に記載の方法。
  17. 前記複数の酸化物マンドレルの前記第2のサブセットの前記除去によって露出された前記ハード・マスク・スタックの前記上面の一部分の上、ならびに前記複数の酸化物マンドレルの前記第1のサブセットおよび前記複数のパターニング・マンドレルの上面の上にスペーサ材料を形成することと、
    前記スペーサ材料をエッチ・バックして、前記複数の酸化物マンドレルの前記第2のサブセットの除去によって露出された前記複数のパターニング・マンドレルの側壁に隣接して側壁スペーサを形成することと、
    をさらに含む、請求項16に記載の方法。
  18. 前記複数のパターニング・マンドレルを除去することをさらに含む、請求項17に記載の方法。
  19. 前記ハード・マスク・スタック、前記ナノシート・スタック、および前記基板の少なくとも一部をエッチングして、前記複数の酸化物マンドレルの前記第1のサブセットの下に前記第1の幅の前記1つまたは複数のフィンを形成し、前記側壁スペーサの下に前記第2の幅の前記1つまたは複数のフィンを形成することをさらに含む、請求項18に記載の方法。
  20. 半導体構造体であって
    基板と、
    犠牲材料およびチャネル材料の交互層を含む、前記基板の上に配置されたナノシート・スタックであり、前記チャネル材料の層が1つまたは複数のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する、前記ナノシート・スタックと、
    前記ナノシート・スタックの上に配置されたハード・マスク・スタックと、
    前記ハード・マスク・スタックの上に配置されたパターニング層と、
    前記パターニング層の上に配置されたリソグラフィ・マスクであり、(i)前記ナノシート・スタックおよび前記基板内に第1の幅の1つまたは複数のフィンを直接印刷するための1つまたは複数の第1の領域と、(ii)自己整合ダブル・パターニングを使用して前記ナノシート・スタックおよび前記基板内に第2の幅の2つ以上のフィン間の間隔を設定するための1つまたは複数の第2の領域と、を画定する、前記リソグラフィ・マスクと、を備え、
    前記第2の幅が前記第1の幅よりも小さい、
    半導体構造体。
  21. 前記リソグラフィ・マスクが、前記1つまたは複数の第1の領域および前記1つまたは複数の第2の領域を覆う、請求項22に記載の半導体構造体。
  22. 前記リソグラフィ・マスクが、前記1つまたは複数の第1の領域および前記1つまたは複数の第2の領域を露出させる、請求項22に記載の半導体構造体。
  23. 前記第1の幅の前記1つまたは複数のフィンの上の前記ナノシート・スタックがn型ナノシート電界効果トランジスタのためのチャネルを提供し、前記第2の幅の前記1つまたは複数のフィンの上に配置された前記ナノシート・スタックがp型ナノシート電界効果トランジスタのためのチャネルを提供する、請求項22に記載の半導体構造体。
JP2022500956A 2019-07-17 2020-07-03 ナノシートの直接印刷および自己整合ダブル・パターニング Pending JP2022541409A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/514,235 US11257681B2 (en) 2019-07-17 2019-07-17 Using a same mask for direct print and self-aligned double patterning of nanosheets
US16/514,235 2019-07-17
PCT/IB2020/056290 WO2021009606A1 (en) 2019-07-17 2020-07-03 Direct print and self-aligned double patterning of nanosheets

Publications (2)

Publication Number Publication Date
JP2022541409A true JP2022541409A (ja) 2022-09-26
JPWO2021009606A5 JPWO2021009606A5 (ja) 2022-12-13

Family

ID=74210237

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022500956A Pending JP2022541409A (ja) 2019-07-17 2020-07-03 ナノシートの直接印刷および自己整合ダブル・パターニング

Country Status (6)

Country Link
US (2) US11257681B2 (ja)
JP (1) JP2022541409A (ja)
CN (1) CN114175211A (ja)
DE (1) DE112020002857T5 (ja)
GB (1) GB2600338B (ja)
WO (1) WO2021009606A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11462614B2 (en) * 2019-08-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11152358B2 (en) * 2019-10-01 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical structure for semiconductor device
US11824116B2 (en) * 2019-12-18 2023-11-21 Intel Corporation Gate-all-around integrated circuit structures having devices with channel-to-substrate electrical contact
US11881402B2 (en) * 2020-09-30 2024-01-23 Applied Materials, Inc. Self aligned multiple patterning
CN117438376B (zh) * 2023-12-20 2024-03-05 华中科技大学 一种基于二维材料的互补性场效应晶体管及制备方法

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216902B2 (en) 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
KR101871748B1 (ko) * 2011-12-06 2018-06-28 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
US9166023B2 (en) 2013-08-09 2015-10-20 Stmicroelectronics, Inc. Bulk finFET semiconductor-on-nothing integration
KR102269055B1 (ko) * 2014-07-16 2021-06-28 삼성전자주식회사 반도체 소자의 제조 방법
US9406522B2 (en) * 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9202920B1 (en) 2014-07-31 2015-12-01 Stmicroelectronics, Inc. Methods for forming vertical and sharp junctions in finFET structures
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9287135B1 (en) 2015-05-26 2016-03-15 International Business Machines Corporation Sidewall image transfer process for fin patterning
US9722052B2 (en) * 2015-10-27 2017-08-01 International Business Machines Corporation Fin cut without residual fin defects
US9871099B2 (en) 2015-11-09 2018-01-16 International Business Machines Corporation Nanosheet isolation for bulk CMOS non-planar devices
US9722022B2 (en) 2015-12-28 2017-08-01 International Business Machines Corporation Sidewall image transfer nanosheet
US9490335B1 (en) 2015-12-30 2016-11-08 International Business Machines Corporation Extra gate device for nanosheet
US9799514B1 (en) * 2016-04-07 2017-10-24 Globalfoundries Inc. Protecting, oxidizing, and etching of material lines for use in increasing or decreasing critical dimensions of hard mask lines
US9859174B1 (en) * 2016-06-24 2018-01-02 International Business Machines Corporation Sidewall image transfer structures
US10014389B2 (en) * 2016-07-26 2018-07-03 Globalfoundries Inc. Methods of forming IC products comprising a nano-sheet device and a transistor device having first and second replacement gate structures
US10002762B2 (en) * 2016-09-09 2018-06-19 International Business Machines Corporation Multi-angled deposition and masking for custom spacer trim and selected spacer removal
US10069015B2 (en) 2016-09-26 2018-09-04 International Business Machines Corporation Width adjustment of stacked nanowires
US9837403B1 (en) * 2016-09-27 2017-12-05 International Business Machines Corporation Asymmetrical vertical transistor
US10032632B2 (en) * 2016-10-04 2018-07-24 International Business Machines Corporation Selective gas etching for self-aligned pattern transfer
US9923055B1 (en) * 2016-10-31 2018-03-20 International Business Machines Corporation Inner spacer for nanosheet transistors
WO2018119037A1 (en) * 2016-12-20 2018-06-28 Massachusetts Institute Of Technology High-linearity transistors
US10043746B1 (en) 2017-02-06 2018-08-07 International Business Machines Corporation Fabrication of vertical fuses from vertical fins
US10002939B1 (en) 2017-02-16 2018-06-19 International Business Machines Corporation Nanosheet transistors having thin and thick gate dielectric material
US10707208B2 (en) * 2017-02-27 2020-07-07 International Business Machines Corporation Fabrication of fin field effect transistors utilizing different fin channel materials while maintaining consistent fin widths
US10043900B1 (en) * 2017-03-20 2018-08-07 International Business Machines Corporation Vertical transport Fin field effect transistors on a substrate with varying effective gate lengths
US9847391B1 (en) 2017-04-05 2017-12-19 Globalfoundries Inc. Stacked nanosheet field-effect transistor with diode isolation
US10340141B2 (en) * 2017-04-28 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10692769B2 (en) * 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
US10755969B2 (en) * 2018-01-01 2020-08-25 International Business Machines Corporation Multi-patterning techniques for fabricating an array of metal lines with different widths
TWI651837B (zh) * 2018-02-21 2019-02-21 Powerchip Technology Corporation 積體電路結構及其製造方法
US10566445B2 (en) * 2018-04-03 2020-02-18 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
US11201152B2 (en) * 2018-04-20 2021-12-14 Globalfoundries Inc. Method, apparatus, and system for fin-over-nanosheet complementary field-effect-transistor
US10304744B1 (en) * 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
US10361129B1 (en) * 2018-05-18 2019-07-23 International Business Machines Corporation Self-aligned double patterning formed fincut
US10672668B2 (en) * 2018-05-30 2020-06-02 International Business Machines Corporation Dual width finned semiconductor structure
US20200066520A1 (en) * 2018-08-22 2020-02-27 International Business Machines Corporation Alternating hard mask for tight-pitch fin formation
US10957798B2 (en) * 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
US10903369B2 (en) * 2019-02-27 2021-01-26 International Business Machines Corporation Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
US10916630B2 (en) * 2019-04-29 2021-02-09 International Business Machines Corporation Nanosheet devices with improved electrostatic integrity
US11527446B2 (en) * 2019-05-13 2022-12-13 International Business Machines Corporation Transistor having strain-inducing anchors and a strain-enhancing suspended channel
US10879379B2 (en) * 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10978573B2 (en) * 2019-07-08 2021-04-13 International Business Machines Corporation Spacer-confined epitaxial growth
US11094781B2 (en) * 2019-11-01 2021-08-17 International Business Machines Corporation Nanosheet structures having vertically oriented and horizontally stacked nanosheets
US11152464B1 (en) * 2020-03-27 2021-10-19 International Business Machines Corporation Self-aligned isolation for nanosheet transistor
US11251287B2 (en) * 2020-04-14 2022-02-15 International Business Machines Corporation Self-aligned uniform bottom spacers for VTFETS
US11164960B1 (en) * 2020-04-28 2021-11-02 International Business Machines Corporation Transistor having in-situ doped nanosheets with gradient doped channel regions

Also Published As

Publication number Publication date
WO2021009606A1 (en) 2021-01-21
GB202201027D0 (en) 2022-03-16
GB2600338B (en) 2023-08-09
CN114175211A (zh) 2022-03-11
US20220102153A1 (en) 2022-03-31
DE112020002857T5 (de) 2022-02-24
US11257681B2 (en) 2022-02-22
US20210020446A1 (en) 2021-01-21
GB2600338A (en) 2022-04-27

Similar Documents

Publication Publication Date Title
US11282751B2 (en) Dielectric fins with different dielectric constants and sizes in different regions of a semiconductor device
US10177150B2 (en) Semiconductor device and method of fabricating the same
US10832916B1 (en) Self-aligned gate isolation with asymmetric cut placement
JP2022541409A (ja) ナノシートの直接印刷および自己整合ダブル・パターニング
US9105723B2 (en) Multi-height FinFETs with coplanar topography
TWI565077B (zh) 具有頸部半導體本體的半導體裝置及形成具有變化寬度的半導體本體的方法
TWI782150B (zh) 場效應電晶體、系統晶片以及製造其的方法
US10854615B2 (en) FinFET having non-merging epitaxially grown source/drains
US11616125B2 (en) Integrated circuit device and manufacturing method thereof
US9741715B2 (en) Structure to prevent lateral epitaxial growth in semiconductor devices
TW202118005A (zh) 高電壓電晶體結構與其製作方法
US9559018B2 (en) Dual channel finFET with relaxed pFET region
JP5406583B2 (ja) 半導体装置
US11949016B2 (en) Multi-gate device and related methods
JP7493579B2 (ja) 非対称のカット配置を有する自己整合ゲート分離
US20220367725A1 (en) Multi-gate device and related methods
US9412820B2 (en) Semiconductor device with thinned channel region and related methods

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221122

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221223

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240326