JP7493579B2 - 非対称のカット配置を有する自己整合ゲート分離 - Google Patents

非対称のカット配置を有する自己整合ゲート分離 Download PDF

Info

Publication number
JP7493579B2
JP7493579B2 JP2022500785A JP2022500785A JP7493579B2 JP 7493579 B2 JP7493579 B2 JP 7493579B2 JP 2022500785 A JP2022500785 A JP 2022500785A JP 2022500785 A JP2022500785 A JP 2022500785A JP 7493579 B2 JP7493579 B2 JP 7493579B2
Authority
JP
Japan
Prior art keywords
nanosheet
channel
forming
gate
fins
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022500785A
Other languages
English (en)
Other versions
JP2022540428A (ja
Inventor
シエ、ルイロン
ラーデンス、カール
チュヨン、カングオ
バスカー、ヴィーララガヴァン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2022540428A publication Critical patent/JP2022540428A/ja
Application granted granted Critical
Publication of JP7493579B2 publication Critical patent/JP7493579B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、半導体に関し、より詳細には、半導体構造体を形成する技術に関する。
半導体および集積回路チップは、特にコストおよびサイズが引き続き減少するのに従って、多くの製品の至る所に存在するようになってきた。構造的特徴のサイズを低減すること、または所与のチップサイズに対してより多量の構造的特徴を提供すること、あるいはその両方が、引き続き望まれている。通常、小型化により、より低い電力レベルおよびより低いコストで性能を増大させることが可能になる。現在の技術は、論理ゲート、電界効果トランジスタ(FET)、およびキャパシタなどの特定のマイクロデバイスの原子レベルでの縮小であり、またはそれに近づいている。
本発明の実施形態は、対称および非対称両方のカット配置を有する自己整合ゲート分離構造体(self-aligned gate isolation structure)を形成する技術を提供する。
一実施形態では、半導体構造体を形成する方法が、基板の頂面の上に複数のフィンを形成することと、複数のフィンを取り囲んで基板の頂面の上にシャロー・トレンチ分離領域(shallow trench isolation region)を形成することと、複数のフィンの上にチャネル材料の複数のナノシート積層体(nanosheet stack)を形成することとを含み、複数のナノシート積層体は、1つまたは複数のナノシート電界効果トランジスタ(nanosheet field-effect transistor)のためのチャネルを提供する。この方法はまた、複数のフィンのうちの第1のフィンの上に形成された複数のナノシート積層体のうちの第1のナノシート積層体の側壁および頂面の少なくとも一部分の上にチャネル保護ライナ(channel protecting liner)を形成することを含み、チャネル保護ライナは、シャロー・トレンチ分離領域のうち、第1のナノシート積層体の側壁の部分から、複数のフィンのうちの第2のフィンの上に形成された複数のナノシート積層体のうちの第2のナノシート積層体の方へ延びる部分の上に、さらに形成される。この方法は、複数のナノシート積層体のうち、チャネル保護ライナによって露出された部分を取り囲んで、複数のゲート積層体を形成することと、チャネル保護ライナの上に少なくとも1つの非対称の自己整合ゲート分離構造体を形成することと、シャロー・トレンチ分離領域のうち、複数のフィンのうちの第3のフィンと複数のフィンのうちの第4のフィンとの間の部分の上に、少なくとも1つの対称の自己整合ゲート分離構造体を形成することとをさらに含む。
別の実施形態では、半導体構造体は、基板と、基板の頂面の上に配置された複数のフィンと、複数のフィンを取り囲んで基板の頂面の上に配置されたシャロー・トレンチ分離領域と、複数のフィンの上に配置されたチャネル材料の複数のナノシート積層体とを備え、複数のナノシート積層体は、1つまたは複数のナノシート電界効果トランジスタのためのチャネルを提供する。半導体構造体はまた、複数のフィンのうちの第1のフィンの上に配置された複数のナノシート積層体のうちの第1のナノシート積層体の側壁および頂面の少なくとも一部分の上に配置されたチャネル保護ライナを備え、チャネル保護ライナは、シャロー・トレンチ分離領域のうち、第1のナノシート積層体の側壁の部分から、複数のフィンのうちの第2のフィンの上に配置された複数のナノシート積層体のうちの第2のナノシート積層体の方へ延びる部分の上に、さらに配置される。半導体構造体は、複数のナノシート積層体のうち、チャネル保護ライナによって露出された部分を取り囲む複数のゲート積層体と、チャネル保護ライナの上に配置された少なくとも1つの非対称の自己整合ゲート分離構造体と、シャロー・トレンチ分離領域のうち、複数のフィンのうちの第3のフィンと複数のフィンのうちの第4のフィンとの間の部分の上に配置された少なくとも1つの対称の自己整合ゲート分離構造体とをさらに備える。
別の実施形態では、集積回路は、ナノシート電界効果トランジスタ構造体を備え、ナノシート電界効果トランジスタ構造体は、基板と、基板の頂面の上に配置された複数のフィンと、複数のフィンを取り囲んで基板の頂面の上に配置されたシャロー・トレンチ分離領域と、複数のフィンの上に配置されたチャネル材料の複数のナノシート積層体とを備え、複数のナノシート積層体は、1つまたは複数のナノシート電界効果トランジスタのためのチャネルを提供する。ナノシート電界効果トランジスタ構造体はまた、複数のフィンのうちの第1のフィンの上に配置された複数のナノシート積層体のうちの第1のナノシート積層体の側壁および頂面の少なくとも一部分の上に配置されたチャネル保護ライナを備え、チャネル保護ライナは、シャロー・トレンチ分離領域のうち、第1のナノシート積層体の側壁の部分から、複数のフィンのうちの第2のフィンの上に配置された複数のナノシート積層体のうちの第2のナノシート積層体の方へ延びる部分の上に、さらに配置される。ナノシート電界効果トランジスタ構造体は、複数のナノシート積層体のうち、チャネル保護ライナによって露出された部分を取り囲む複数のゲート積層体と、チャネル保護ライナの上に配置された少なくとも1つの非対称の自己整合ゲート分離構造体と、シャロー・トレンチ分離領域のうち、複数のフィンのうちの第3のフィンと複数のフィンのうちの第4のフィンとの間の部分の上に配置された少なくとも1つの対称の自己整合ゲート分離構造体とをさらに備える。
本発明の一実施形態による2つのフィン間の整合ゲート・カット(aligned gate cut)の上面図である。 本発明の一実施形態による2つのフィン間の拡大された非整合ゲート・カットの上面図である。 本発明の一実施形態によるスタティック・ランダム・アクセス・メモリ構造体のトポロジにおける非対称ゲート・カットの上面図である。 本発明の一実施形態によるナノシート積層体のパターニング後の半導体構造体の側面断面図である。 本発明の一実施形態による図3Aの構造体の一部分の上面図である。 本発明の一実施形態によるチャネル保護ライナ、ダミー・ゲート、およびゲート・ハード・マスクの形成後の図3Aの構造体の側面断面図である。 本発明の一実施形態によるソース/ドレイン領域の形成および平坦化後の図4の構造体の第1の側面断面図である。 本発明の一実施形態によるソース/ドレイン領域の形成および平坦化後の図4の構造体の第2の側面断面図である。 本発明の一実施形態によるダミー・ゲートの除去後の図5Aの構造体の側面断面図である。 本発明の一実施形態によるチャネル保護ライナのパターニング後の図6の構造体の側面断面図である。 本発明の一実施形態による犠牲ナノシート材料の選択的成長後の図7の構造体の側面断面図である。 本発明の一実施形態による自己整合分離層(self-aligned isolation layer)の形成後の図8の構造体の側面断面図である。 本発明の一実施形態による有機平坦化層の形成後の図9の構造体の側面断面図である。 本発明の一実施形態による対称および非対称ゲート・カットのパターニング後の図10の構造体の側面断面図である。 本発明の一実施形態による誘電体材料による対称および非対称ゲート・カットの充填後の図11の構造体の側面断面図である。 本発明の一実施形態による有機平坦化層および犠牲ナノシート材料の除去後の図12の構造体の側面断面図である。 本発明の一実施形態によるゲート構造体の形成後の図13の構造体の側面断面図である。
本明細書では、本発明の例示的な実施形態について、対称および非対称両方のカット配置を有する自己整合ゲート分離を実行する例示的な方法の文脈で説明する。しかし、本発明の実施形態は、例示的な方法、装置、システム、およびデバイスに限定されるものではなく、他の好適な方法、装置、システム、およびデバイスにもより広く適用することができることを理解されたい。
FETは、ソース、ゲート、およびドレインを有するトランジスタであり、その作用は、ゲートを越えてソースとドレインとの間を通るチャネルに沿った大部分のキャリアの流れに依存する。ソースとドレインとの間のチャネルを通る電流は、ゲートの下で横方向の電界によって制御することができる。ゲートの長さは、FETが切り換わる速さを決定し、チャネルの長さ(ソースとドレインとの間の距離など)とほぼ同じにすることができる。
いくつかのFETでは、チャネルを制御するために、2つ以上のゲートまたはマルチゲートの配置を使用することができる。マルチゲートFETは、相補形金属酸化膜半導体(CMOS)FET技術のサイズを縮小するための有望な候補である。しかし、マルチゲートFETに関連する寸法が小さければ小さいほど(シングルゲートFETと比較)、マルチゲートFETに存在する短チャネル効果、突き抜け現象、金属酸化膜半導体(MOS)漏れ電流、および寄生抵抗などの性能の問題に関してさらなる制御が必要になる。
FETのサイズを低減させるために、様々な技術を使用することができる。1つの技術は、FinFETデバイスにおけるフィン状チャネルの使用による。FinFET配置が出現する前、CMOSデバイスは、典型的に、チャネルの頂部の上に配置されたFETゲートを除いて、半導体基板の表面に沿って実質的に平面であった。FinFETは、垂直チャネル構造体を利用して、ゲートに露出されるチャネルの表面積を増大させる。したがって、FinFET構造体では、ゲートがチャネルの2つ以上の面または表面の上に延びるため、ゲートはチャネルをより効果的に制御することができる。いくつかのFinFET配置では、ゲートは、従来の平面チャネルの頂面の上だけに配置されるのではなく、3次元チャネルの3つの表面を密閉する。
FETのサイズを低減させるのに有用な別の技術は、半導体基板の上に形成された積層ナノシート・チャネルの使用による。積層ナノシートは、1~100ナノメートル(nm)程度の厚さ範囲を有するシートなどの2次元のナノ構造体とすることができる。ナノシートおよびナノワイアは、7nm以下に縮小するための実行可能な選択肢である。ナノシート積層体の形成のための一般的なプロセスの流れは、シリコン(Si)から形成されうるチャネル材料のシート間にシリコン・ゲルマニウム(SiGe)から形成されうる犠牲層を除去することを伴う。
セルの高さが縮小すると、活性領域間の間隔が小さくなりすぎるため、ゲート・カットを実行する技術は、要件を満たさなくなる。自己整合ゲート・カットまたは自己整合ゲート分離と呼ばれるプロセスは、活性領域間の間隔を5nm以下に縮小するために使用することができる。しかし、SAGE誘起ゲート・カットは、非対称ゲート・カットの使用を必要とする特定の状況では理想的でない。たとえば、スタティック・ランダム・アクセス・メモリ(SRAM)デバイスは、非対称ゲート・カットを利用することができる。
図1Aは、2つのゲート構造体104のうちの一方で、1組のフィン102のうちの2つの間で実行された整合ゲート・カット101の上面図100を示す。整合ゲート・カット101は、ゲート・カット101が隣接するフィン102間で完全に整合されたときの理想的なケースを表し、小さい限界寸法103(たとえば、6~15nmの範囲内)を有する。図1Bは、2つのゲート構造体104のうちの一方で、1組のフィン102のうちの2つの間で実行された非整合ゲート・カット110の上面図150を示す。図示のように、ゲート・カット110は、2つの隣接するフィン102のうちの一方に他方より近いため、完全に整合していない。非整合ゲート・カット110はまた、より大きい限界寸法130(たとえば、15~40nmの範囲内)を有する。
5nm以下に縮小する場合、ゲート・カット101からフィン102までの間隔の2倍にゲート・カット101の限界寸法103を足したセルの高さ105は、変動に対する許容差を含めて、約40nmである。ゲート・カット101からフィン102までの間隔は切れるべきではなく、必要とされる間隔は、高誘電率のゲート誘電体および仕事関数金属(WFM)のゲート導体を含むゲート積層体の厚さ(たとえば、2×(2+5)=14nm)の2倍である。さらに、フィンの限界寸法均一性(CDU)、フィンのピッチ・ウォーキング(PW)、ゲート・カットのCDU、およびゲート・カットのオーバーレイ・パラメータは、厳密に制御されると考えられ、全体の変動はわずか5nmである。したがって、ゲート・カット101のCDは約40nm-(2×14nm)-5nm=7nmとするべきであるが、これは従来のリソグラフィ処理を使用して実現することができない。
上述したように、将来のセルの高さの縮小のために、自己整合ゲート・カットまたは自己整合ゲート分離技術を使用することができる。自己整合ゲート・カット処理の流れは、フィン(たとえば、フィンならびにパッシベーション層、ポリシリコン層、およびハード・マスク層を含む他の層)のパターニングを含むことができる。次に、ライナ誘電体、それに続いてシャロー・トレンチ分離(STI)領域を形成することができる。次いで、STI領域に凹部を作ることができ(たとえば、フィン暴露(fin reveal)が実行される)、それに続いてダミー・ゲート誘電体が形成される。次いで、スペーサ(たとえば、ポリシリコン)が形成され、これはフィン間隔に応じて一体または個別である。次いで、分離プラグが形成される。次いで、自己整合2重パターニング(SADP:self-aligned double patterning)などのゲート・ハード・マスクおよび技術を使用して、ダミー・ゲートがパターニングされる。次いで、異方性エッチングが実行され、異方性エッチングは、分離プラグ、ダミー・ゲート誘電体、およびゲート・ハード・マスクに対して選択的である。このようにして、対称ゲート・カットを実行することができる。
しかし、自己整合ゲート・カット処理は、いくつかの応用例のシナリオで必要とされる非対称ゲート・カットを形成するには好適でない。たとえば、上述したように、SRAM構造体は、非対称ゲート・カットを利用することができる。SRAMは、一種のメモリ・デバイスであり、速い速度、低い電力消費、および簡単な動作を提供する。ダイナミック・ランダム・アクセス・メモリ(DRAM)とは異なり、SRAMは、記憶されたデータを定期的にリフレッシュする必要がなく、単純明快な設計を有する。SRAMセルは、様々な数のトランジスタを使用して形成することができる。
マイクロプロセッサ回路内の1次メモリとしては、6トランジスタ(6T)のSRAMセルが広く使用されている。6TのSRAMセルは、第1のビット線ノード(BL)、第1の出力ノード(Q)、およびワード線ノード(WL)に接続された第1のn型FETデバイス(nFET)を含むことができる。6TのSRAMセルの第2のnFETデバイスは、Qノード、接地ノード(たとえば、VSS)、および第2の出力ノード(Q’)に接続される。第1のp型FETデバイス(pFET)が、Qノード、Q’ノード、および電圧源または供給ノード(たとえば、VDD)に接続される。第2のpFETデバイスが、VDDノード、Qノード、およびQ’ノードに接続される。第3のnFETデバイスが、VSSノード、Qノード、およびQ’ノードに接続される。第4のnFETデバイスが、第2のビット線ノード(BLB)、WLノード、およびQ’ノードに接続される。第1および第4のnFETデバイスは、6TのSRAMセルのパスゲート(PG)トランジスタであり、第2および第3のnFETデバイスは、6TのSRAMセルのプルダウン(PD)トランジスタであり、第1および第2のpFETデバイスは、6TのSRAMセルのプルアップ(PU)トランジスタである。
図2は、SRAMセルに対する非対称ゲート・カット209-1および209-2の上面図200を示す。上面図200で、要素201は、VSS(たとえば、0ボルト(V)または「接地」電圧)接続を示し、要素203は、VDD(たとえば、正の供給電圧)接続を示す。要素205-1および205-2は、SRAMセルのWL接続を示し、要素207-1および207-2は、SRAMセルのビット線接続(たとえば、それぞれBLおよびBLB)を示す。要素209-1および209-2は、非対称ゲート・カットを示し、2つの隣接するフィン間に対称に形成されるのではなく、2つの隣接するフィンのうちの一方により近く配置されている。
対称および非対称両方のカット配置を有する自己整合ゲート分離を実行する例示的なプロセスについて、図3~図14に関連してさらに詳細に次に説明する。
図3Aは、基板302の上にナノシート積層体をパターニングした後の半導体構造体の側面断面図300を示す。1組のフィン304-1~304-5(集合的に、フィン304)が、基板302の上に形成される。1組のフィン304は、STI領域306によって取り囲まれる。交互の犠牲材料層308およびチャネル材料310を含むナノシート積層体が、フィン304の各々の上に形成される。図3Aは、各ナノシート積層体に3つの犠牲層308および3つのチャネル層310が存在する一例を示すが、これは単なる一例であり、ナノシート積層体は、4組以上または2組以下の交互の犠牲材料層およびチャネル材料層を含むこともできることを理解されたい。
基板302は、バルク・シリコン(Si)から形成された半導体構造体とすることができるが、様々なシリコン含有材料などの他の好適な材料を使用することもできる。基板302に好適なシリコン含有材料の実例には、それだけに限定されるものではないが、Si、シリコン・ゲルマニウム(SiGe)、炭化シリコン・ゲルマニウム(SiGeC)、炭化ケイ素(SiC)、ポリシリコン、エピタキシャル・シリコン、非晶質シリコン、およびこれらの多分子層が含まれる。シリコンは、ウエハの製作で圧倒的に使用されている半導体材料であるが、それだけに限定されるものではないが、ゲルマニウム(Ge)、砒化ガリウム(GaAs)、窒化ガリウム(GaN)、テルル化カドミウム(CdTe)、およびセレン化亜鉛(ZnSe)などの代替の半導体材料を用いることもできる。代替実施形態では、基板302は、シリコン・オン・インシュレータ(SOI)ウエハとすることができる。当技術分野で知られているように、SOIウエハは、埋込み絶縁体によって基板から分離されたSOI層を含む。好適な基板材料には、それだけに限定されるものではないが、Si、ひずみSi、炭化ケイ素(SiC)、Ge、SiGe、SiGeC、Si合金、Ge合金、GaAs、砒化インジウム(InAs)、リン化インジウム(InP)、またはこれらの任意の組合せが含まれる。埋込み絶縁体に好適な誘電体材料には、それだけに限定されるものではないが、二酸化ケイ素(SiO)などの酸化物材料が含まれる。埋込み絶縁体が酸化物であるとき、埋込み絶縁体を埋込み酸化物またはBOXと呼ぶこともできる。
基板302は、所望に応じて(たとえば、フィン304または形成すべき他のデバイス構造体の数に基づく)、変動する幅または水平厚さ(X-X’方向)を有することができる。基板302は、300マイクロメートル(μm)~1000μmの範囲の高さまたは垂直厚さ(Y-Y’方向)を有することができる。
フィン304は、下にある基板302と同じ材料から形成することができる。たとえば、いくつかの実施形態では、フィン304は、リソグラフィおよびエッチング(たとえば、反応性イオン・エッチング(RIE))を使用して、バルク基板から形成される。側壁イメージ転写(SIT)、自己整合2重パターニング(SADP)、自己整合多重パターニング(SAMP)、自己整合4重パターニング(SAQP)などの他の好適な技術を使用して、フィン304を形成することもできる。そのような場合、フィン304は、基板302と同じ材料(たとえば、Si)から形成することができる。別法として、フィン304は、まず基板302に異なる材料をエピタキシャル成長させ、次いでパターニングしてフィン304を形成することによって、基板302とは異なる材料から形成することができる。たとえば、フィン304をシリコン・ゲルマニウム(SiGe)とし、基板302をSiとすることができる。
フィン304の各々は、20~35nmの範囲内の垂直厚さまたは高さ(Y-Y’方向)、および6~100nmの範囲内で変動する水平厚さまたは幅(X-X’方向)を有することができる。図3Aに示すように、フィン304のうちの異なるフィンは、異なる幅を有する(たとえば、フィン304-1、304-2、および304-3が、X-X’方向にフィン304-4および304-5より大きい)。フィン304間の間隔はまた、以下でさらに詳細に説明するように変動することができる。
STI層306は、酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸炭化ケイ素(SiOC)、酸窒化ケイ素(SiON)、または任意の他の好適な誘電体材料などの誘電体材料から形成することができる。STI層306の垂直厚さまたは高さ(Y-Y’方向)は、フィン暴露処理によって画定され、ナノシート積層体の底面のレベルにほぼ一致する。STI層306の水平厚さまたは幅(X-X’方向)は、図示のように、フィン304間の間隔によって画定される。
上述したように、ナノシート積層体は、交互の犠牲材料層308およびチャネル材料層310を含む。犠牲層308は、チャネル材料310に対して選択的にエッチングすることができる任意の好適な材料から形成することができる。チャネル材料310がSiである場合、犠牲層308はSiGeとすることができる。チャネル材料310が砒化インジウム・ガリウム(InGaAs)である場合、犠牲層308は砒化インジウム・アルミニウム(InAlAs)とすることができる。様々な他の組合せのIII-V材料を使用することもできる。犠牲層308の材料は、チャネル層310の材料に対して選択的に除去することができる材料である。犠牲層308およびチャネル層310は各々、4nm~15nmの範囲内の厚さを有することができる。犠牲層308およびチャネル層310のナノシート積層体は、フィン304の上にエピタキシャル成長させることができる。
図3Aは、共通の基板302の上に形成されたナノシート積層体の2つの領域を示し、これらの領域が301および303と示されている。領域301は、本明細書で「論理」領域と呼ばれており、フィン304-1および304-2は、その結果得られる構造体の論理デバイスに対する所望に応じて、nFETまたはpFETデバイスを提供することができる。領域303は、本明細書で「SRAM」領域と呼ばれており、フィン304-3、304-4、および304-5は、SRAMセル(たとえば、図2の上面図200に示したものなど)を形成するためのnFETおよびpFETデバイスを提供する。いくつかの実施形態では、フィン304-3は、nFETデバイスを提供し、フィン304-4および304-5は、pFETデバイスを提供する。nFETデバイスを提供するフィン304-3と、pFETデバイスを提供するフィン304-4との間の間隔は、図3Aに要素305として示されており、約40nmとすることができる。フィン304-4の幅は、図3Aに要素307として示されており、約15nmとすることができる。pFETデバイスを提供するフィン304-4および304-5間の間隔は、図3Aに要素309として示されており、約30nmとすることができる。
図3Bは、図3Aの側面断面図300に示す構造体から形成された、その結果得られるSRAMセルの領域303の上面図350を示す。図3Aの側面断面図300(ならびに、図4、図5A、および図6~図14の側面断面図)が、フィン304を横切って、またはフィン304に直交して、切り取られている。
図4は、チャネル保護ライナ312、ダミー・ゲート314、およびゲート・ハード・マスク316の形成後の図3Aの構造体の側面断面図400を示す。チャネル保護ライナ312は、図示のように、STI領域306の上に、ナノシート積層体を取り囲んで形成される。チャネル保護ライナ312は、ダミー・ゲート酸化物層312と呼ぶこともでき、2~5nmの範囲内の均一の厚さを有することができる。チャネル保護ライナ312は、原子層堆積(ALD)または他の好適な技術を使用して形成することができる。チャネル保護ライナ312は、二酸化ケイ素(SiO)などの酸化物から形成することができる。
ダミー・ゲート314は、チャネル保護ライナ312の上に形成され、ナノシート積層体間の空間を充填し、構造体を越えて充填される。ダミー・ゲート314は、STI領域306の上に形成されたチャネル保護ライナ312から測定して、60~200nmの範囲内の高さまたは垂直厚さ(Y-Y’方向)を有することができる。ダミー・ゲート314は、非晶質シリコン(a-Si)、または非晶質シリコン・ゲルマニウム(a-SiGe)、ポリシリコン(poly-Si)、ポリシリコン・ゲルマニウム(poly-SiGe)などの別の好適な材料から形成することができる。ダミー・ゲート314は、ALD、化学気相成長(CVD)、物理気相成長(PVD)、または他の好適な処理を使用して形成することができる。
ゲート・ハード・マスク316は、ダミー・ゲート314の頂部(たとえば、その結果得られる構造体に対するゲートが形成される領域)の上にパターニングされる。ゲート・ハード・マスク316は、CVD、PVD、または他の好適な処理を使用して形成することができる。ゲート・ハード・マスク316は、SiO、SiN、これらの組合せなどから形成することができる。ゲート・ハード・マスク316は、20~120nmの範囲内の高さまたは垂直厚さ(Y-Y’方向)を有することができる。
図5Aは、ソース/ドレイン領域318の形成および平坦化後の図4の構造体の第1の側面断面図500を示す。図5Bは、ソース/ドレイン領域318の形成後の図4の構造体の第2の側面断面図550を示す。図5Bはまた、犠牲層308とソース/ドレイン領域318との間に形成された第1のスペーサ320、およびダミー・ゲート314と層間誘電体(ILD)316との間に形成された第2のスペーサ322を示す。上述したように、図5Aの側面断面図500は、フィン304を横切って、またはフィン304に直交して、切り取られている。図5Bの側面断面図550は、フィン304のうちの1つに沿って、またはフィン304のうちの1つに平行に、切り取られている。
ソース/ドレイン領域318は、エピタキシャル成長プロセスを使用して形成することができる。いくつかの実施形態では、エピタキシャル成長プロセスは、その場ドーピング(エピタキシ中にエピタキシ材料にドーパントが組み込まれる)を含む。エピタキシャル材料は、気体または液体の前駆体から成長させることができる。エピタキシャル材料は、気相エピタキシ(VPE)、分子ビーム・エピタキシ(MBE)、液相エピタキシ(LPE)、急速熱化学気相成長(RTCVD)、金属有機化学気相成長(MOCVD)、超高真空化学気相成長(UHVCVD)、低圧化学気相成長(LPCVD)、限定反応処理CVD(LRPCVD)、または他の好適なプロセスを使用して成長させることができる。堆積中、トランジスタのタイプに応じて、n型ドーパント(たとえば、リン(P)、ヒ素(As)、アンチモン(Sb)などのドーパント)またはp型ドーパント(たとえば、ホウ素(B)、フッ化ホウ素(BF)、ガリウム(Ga)、インジウム(In)、タリウム(Tl)など)などのドーパントを加えることによって、エピタキシャル・シリコン、シリコン・ゲルマニウム(SiGe)、ゲルマニウム(Ge)、または炭素ドープ・シリコン(Si:C)、あるいはその組合せをドープすることができる(その場ドーピング)。ソース/ドレイン内のドーパント濃度は、1×1019cm-3~3×1021cm-3、または好ましくは2×1020cm-3~3×1021cm-3の範囲とすることができる。
スペーサ322は、共形のスペーサ・ライナ、それに続いて異方性スペーサRIEを堆積させることによって形成することができる。スペーサ320は、ナノシート積層体に凹部を作り、それに続いてナノシート積層体の犠牲層308の選択的なくぼみを形成することによって形成することができる(たとえば、上述したように、犠牲層308はSiGeから形成することができ、チャネル層310はSiから形成することができる)。次いで、共形のスペーサ・ライナを有するくぼみに、スペーサ320に対するスペーサ材料を充填することができ、それ以外の場所では、スペーサ・ライナは、等方性エッチング・プロセスを使用して除去される。スペーサ320およびスペーサ322は各々、SiN、酸炭窒化ケイ素(SiOCN)、酸炭化ケイ素(SiOC)、SiC、ケイ素ホウ素窒化炭素(SiBCN)などから形成することができる。
図6は、ダミー・ゲート314の除去後の図5Aの構造体の側面断面図600を示す。ダミー・ゲート314は、高温アンモニア洗浄(hot ammonia clean)などの選択的な湿式または乾式エッチング・プロセスを使用して除去することができる。ダミー・ゲート314の除去は、チャネル保護ライナ312を露出させる。
図7は、ダミー酸化物マスク層324を使用したチャネル保護ライナ312のパターニング後の図6の構造体の側面断面図700を示す。ダミー酸化物マスク層324は、フィン304-4のうち、フィン304-3に面している部分の上にパターニングされる(たとえば、SRAMデバイスの場合、ダミー酸化物マスク層324は、フィン304-3を使用して設けられたnFETに面しているSRAMのpFET側を保護する)。ダミー酸化物マスク層324は、リソグラフィおよびエッチングまたは他の好適な技術を使用して形成およびパターニングすることができる。
ダミー酸化物マスク層324は、ポリアリレート樹脂、エポキシ樹脂、フェノール樹脂、ポリアミド樹脂、ポリイミド樹脂、不飽和ポリエステル樹脂、ポリフェニレンエーテル樹脂、ポリフェニレンスルファイド樹脂、ベンゾシクロブテン(BCB)などの有機平坦化層(OPL)材料から形成することができる。ダミー酸化物マスク層324は、スピンオン・コーティングまたは他の好適な処理を使用して形成することができる。ダミー酸化物マスク層324は、STI領域306の上に形成されたチャネル保護ライナ312の頂部から測定して、60~200nmの範囲内の高さまたは垂直厚さ(Y-Y’方向)を有することができる。EGマスク層324の幅または水平厚さ(X-X’方向)は、フィン304-4の幅およびフィン304-3および304-4間の間隔などに基づいて変動することができる。図7に示すように、ダミー酸化物マスク層324は、フィン304-4の上のナノシート積層体の頂部の中間から、フィン304-3および304-4間のSTI領域306の中間へ延びる。
ダミー酸化物マスク層324がパターニングされた後、パターニングされたダミー酸化物マスク層324によって露出されたチャネル保護ライナ312が、等方性の酸化物乾式エッチング・プロセスなどを使用して除去される。
図8は、パターニングされたダミー酸化物マスク層324の除去(たとえば、灰化を使用)、およびそれに続くナノシート積層体の犠牲層308の材料(たとえば、SiGe)の選択的エピタキシャル成長326後の図7の構造体の側面断面図800を示す。図示のように、材料326は、残りのチャネル保護ライナ312によって覆われていないナノシート積層体の露出面の上に成長する。材料326のエピタキシャル成長は、5~30nmの範囲内の均一の厚さを有することができる。
図9は、自己整合分離層328の形成後の図8の構造体の側面断面図900を示す。自己整合分離層328は、SiO、SiN、SiOCN、SiOC、SiC、SiBCNなどの誘電体材料から形成することができる。自己整合分離層328は、間隙内へ誘電体材料を堆積させ、それに続いて、上述したように暴露された材料326の頂面のレベルまで再びエッチングすることによって形成することができる。分離層328は、STI領域306の上の材料326と残りのチャネル保護ライナ312との間の間隙を充填するため、自己整合する。
図10は、自己整合分離層328および材料326の頂部の上のOPL330の形成後の図9の構造体の側面断面図1000を示す。OPL330は、ダミー酸化物マスク層324に関して上述したものに類似の材料を使用して、スピンオン・コーティングを使用して形成することができる。OPL330は、20~100nmの範囲内の高さまたは垂直厚さ(Y-Y’方向)を有することができる。
図11は、OPL330内のゲート・カットのパターニング後の図10の構造体の側面断面図1100を示す。より詳細には、図11は、論理領域301内のOPL330に形成された対称ゲート・カット1101、およびSRAM領域303内のOPL330に形成された非対称ゲート・カット1103を示す。対称ゲート・カット1101および非対称ゲート・カット1103は、OPL330の上にマスクをパターニングし、OPL330の露出部分をエッチングして、下にある自己整合分離層328の部分を暴露することによって形成することができる。対称ゲート・カット1101および非対称ゲート・カット1103は、リソグラフィを使用して画定することができる。非対称ゲート・カット1103は、自己整合分離層328の部分を露出させる。分離層328は自己整合し、したがって非対称ゲート・カット1103は、自己整合されたように見える。本明細書に別途記載するように、いくつかの実施形態では、非対称ゲート・カット1103は、SRAMのnFETデバイスを提供するフィン304-3と、SRAMのpFETデバイスを提供するフィン304-4との間に形成することができる。自己整合分離層328のうち、非対称ゲート・カット1103によって露出された部分は、フィン304-4の上のナノシート積層体に近接しており、したがって非対称ゲート・カット1103を自己整合非対称ゲート・カットと呼ぶことができる。
対称ゲート・カット1101は、論理領域301内のフィン304-1および304-2間に形成された自己整合分離層328を露出させる。対称ゲート・カット1101は、15~35nmの範囲内の幅または水平厚さ(X-X’方向)を有することができる。
非対称ゲート・カット1103は、図示のように、残りのチャネル保護ライナ312の上に形成された自己整合分離層328の少なくとも一部分を露出させる。非対称ゲート・カット1103は、15~35nmの範囲内の幅または水平厚さ(X-X’方向)を有することができる。
図12は、誘電体材料332による対称ゲート・カット1101および非対称ゲート・カット1103の充填後の図11の構造体の側面断面図1200を示す。誘電体材料332は、SiN、SiCO、SiC、または別の好適な材料を含むことができる。
図13は、OPL330および犠牲材料(たとえば、犠牲ナノシート308および材料326)の除去後の図12の構造体の側面断面図1300を示す。OPL330は、灰化を使用して除去することができる。犠牲材料(たとえば、308および326)は、選択的エッチングを使用して除去される。
図14は、ゲート構造体334の形成(たとえば、置換金属ゲート(RMG)プロセスを使用)後の図13の構造体の側面断面図1400を示す。ゲート構造体334は、共形に堆積されたゲート誘電体層を含むことができ、それに続いてゲート導体層が形成される。
ゲート誘電体層は、高誘電率の誘電体材料から形成することができる。高誘電率材料の例には、それだけに限定されるものではないが、酸化ハフニウム(HfO)、ハフニウム・シリコン酸化物(Hf-Si-O)、ハフニウム・シリコン酸窒化物(HfSiON)、酸化ランタン(La)、アルミン酸ランタン(LaAlO)、酸化ジルコニウム(ZrO)、ジルコニウム・シリコン酸化物、ジルコニウム・シリコン酸窒化物、酸化タンタル(Ta)、酸化チタン(TiO)、バリウム・ストロンチウム・チタン酸化物、バリウム・チタン酸化物、ストロンチウム・チタン酸化物、酸化イットリウム(Y)、酸化アルミニウム(Al)、鉛スカンジウム・タンタル酸化物、および亜鉛ニオブ酸鉛などの金属酸化物が含まれる。高誘電率材料は、ランタン(La)、アルミニウム(Al)、およびマグネシウム(Mg)などのドーパントをさらに含むことができる。ゲート誘電体層は、1nm~4nmの範囲内の均一の厚さを有することができる。
ゲート導体層は、金属ゲートまたは仕事関数金属(WFM)を含むことができる。いくつかの実施形態では、ゲート導体層は、原子層堆積(ALD)または別の好適なプロセスを使用して形成される。nFETデバイスの場合、ゲート導体層に対するWFMは、チタン(Ti)、アルミニウム(Al)、チタン・アルミニウム(TiAl)、チタン・アルミニウム炭素(TiAlC)、TiおよびAl合金の組合せ、障壁層(たとえば、窒化チタン(TiN)または別の好適な材料)を含む積層体、それに続いて上述したWFM材料のうちの1つまたは複数などとすることができる。pFETデバイスの場合、ゲート導体に対するWFMは、TiN、窒化タンタル(TaN)、または別の好適な材料とすることができる。いくつかの実施形態では、pFETのWFMは、金属積層体を含むことができ、より厚い障壁層(たとえば、TiN、TaNなど)が形成され、それに続いてTi、Al、TiAl、TiAlC、またはTiおよびAl合金の任意の組合せなどのWFMが形成される。所望される場合、様々な他の材料をゲート導体層に使用することもできることを理解されたい。
図3~図14に関連して上述した処理は、対称および非対称両方の自己整合ゲート分離を有する構造体(たとえば、集積回路)の形成を可能にすることが有利である。ゲート・カット領域の場合、追加のゲート・カット構造体が、自己整合ゲート分離の上に形成される。非対称の自己整合ゲート分離の場合、ナノシート積層体の頂部の上に、分離構造体の何らかの頂部が形成される。
自己整合ゲート分離を形成するプロセスは、チャネル保護ライナ(たとえば、ダミー酸化物層312)を形成することと、マスク(たとえば、ダミー酸化物マスク322)を使用して、チャネル保護ライナの少なくとも一部を保護し、保護されていないライナを除去して、ナノシート積層体のチャネルを露出させることとを含む。ナノシート積層体の露出チャネルの上に、犠牲ライナ(たとえば、材料326)が形成され、それに続いて自己整合ゲート分離(たとえば、自己整合ゲート分離層328)が形成される。自己整合ゲート分離および犠牲ライナの上に、犠牲材料(たとえば、OPL330)が形成され、それに続いて犠牲材料内へゲート・カット(たとえば、対称ゲート・カット1101および非対称ゲート・カット1103)が形成される。次いで、犠牲材料および犠牲ライナは除去され、それに続いてRMG処理を行って、ゲート構造体を形成する。
いくつかの実施形態では、半導体構造体を形成する方法は、基板の頂面の上に複数のフィンを形成することと、複数のフィンを取り囲んで基板の頂面の上にSTI領域を形成することと、複数のフィンの上にチャネル材料の複数のナノシート積層体を形成することとを含み、複数のナノシート積層体は、1つまたは複数のナノシートFETのためのチャネルを提供する。この方法はまた、複数のフィンのうちの第1のフィンの上に形成された複数のナノシート積層体のうちの第1のナノシート積層体の側壁および頂面の少なくとも一部分の上にチャネル保護ライナを形成することを含み、チャネル保護ライナは、STI領域のうち、第1のナノシート積層体の側壁の部分から、複数のフィンのうちの第2のフィンの上に形成された複数のナノシート積層体のうちの第2のナノシート積層体の方へ延びる部分の上に、さらに形成される。この方法は、複数のナノシート積層体のうち、チャネル保護ライナによって露出された部分を取り囲んで、複数のゲート積層体を形成することと、チャネル保護ライナの上に少なくとも1つの非対称の自己整合ゲート分離構造体を形成することと、STI領域のうち、複数のフィンのうちの第3のフィンと複数のフィンのうちの第4のフィンとの間の部分の上に、少なくとも1つの対称の自己整合ゲート分離構造体を形成することとをさらに含む。
チャネル保護ライナの上に形成された非対称の自己整合ゲート分離構造体は、第1のナノシート積層体の側壁を取り囲むチャネル保護ライナに隣接して形成された第1の部分と、第1のナノシート積層体の頂面の部分を取り囲むチャネル保護ライナの頂面の上に形成された第2の部分とを備えることができる。
第1のナノシート積層体および第2のナノシート積層体は、少なくとも1つのSRAMデバイス構造体のナノシートFETのためのナノシート・チャネルを提供する。第1のナノシート積層体は、pFETのためのナノシート・チャネルを提供することができ、第2のナノシート積層体は、nFETのためのナノシート・チャネルを提供する。複数のナノシート積層体のうち、第3のフィンの上に形成された第3のナノシート積層体、および複数のナノシート積層体のうち、第4のフィンの上に形成された第4のナノシート積層体は、1つまたは複数の論理デバイス構造体のナノシートFETのためのナノシート・チャネルを提供することができる。
チャネル保護ライナを形成することは、複数のナノシート積層体を取り囲んで、複数のフィン間のSTI領域の上にチャネル保護ライナを形成することと、チャネル保護ライナの上にダミー・ゲート構造体を形成することと、ダミー・ゲート構造体の上にゲート・ハード・マスクをパターニングすることと、ダミー・ゲート構造体のうち、パターニングされたゲート・ハード・マスクによって露出された部分を除去することとを含むことができる。チャネル保護ライナを形成することは、ダミー・ゲート構造体の除去によって形成された空間内にソース/ドレイン領域を形成することと、ダミー・ゲート構造体の残り部分を除去することとをさらに含むことができる。チャネル保護ライナを形成することは、チャネル保護ライナのうち、第1のナノシート積層体の側壁および頂面の部分を取り囲む部分、ならびにSTI領域のうち、第1のナノシートの側壁の部分から第2のナノシート積層体の方へ延びる部分の上に、マスク層をパターニングすることと、チャネル保護ライナのうち、パターニングされたマスク層によって露出された部分を除去することとをさらに含むことができる。
複数のナノシート積層体を形成することは、交互の犠牲材料層およびチャネル材料層を形成することを含むことができ、この方法は、複数のナノシート積層体のうち、チャネル保護ライナによって露出された部分の上に、追加の犠牲材料を選択的に成長させることをさらに含むことができる。非対称の自己整合ゲート分離構造体および対称の自己整合ゲート構造体を形成することは、追加の犠牲材料を取り囲んで構造体の上に分離材料を形成することを含むことができる。非対称の自己整合ゲート分離構造体および対称の自己整合ゲート構造体を形成することは、分離材料および追加の犠牲材料の上にOPLを形成することをさらに含むことができる。非対称の自己整合ゲート分離構造体および対称の自己整合ゲート構造体を形成することは、OPLをパターニングして、(i)非対称の自己整合ゲート分離構造体のためのチャネル保護ライナの上に形成された分離材料の少なくとも一部分、ならびに(ii)対称の自己整合ゲート分離構造体のための第3および第4のナノシート積層体間のSTI領域の上に形成された分離材料の少なくとも一部分を露出させることをさらに含むことができる。非対称の自己整合ゲート分離構造体および対称の自己整合ゲート構造体を形成することは、OPLをパターニングすることによって露出された領域内に追加の分離材料を充填することをさらに含むことができる。
複数のゲート積層体を形成することは、OPL、ナノシート・チャネル積層体の犠牲材料、および追加の犠牲材料を除去することを含む。複数のゲート積層体を形成することは、OPL、ナノシート・チャネル積層体の犠牲材料、および追加の犠牲材料の除去によって形成された空間内に、ゲート誘電体およびゲート導体を形成することをさらに含むことができる。
いくつかの実施形態では、半導体構造体は、基板と、基板の頂面の上に配置された複数のフィンと、複数のフィンを取り囲んで基板の頂面の上に配置されたSTI領域と、複数のフィンの上に配置されたチャネル材料の複数のナノシート積層体とを備え、複数のナノシート積層体は、1つまたは複数のナノシートFETのためのチャネルを提供する。半導体構造体はまた、複数のフィンのうちの第1のフィンの上に配置された複数のナノシート積層体のうちの第1のナノシート積層体の側壁および頂面の少なくとも一部分の上に配置されたチャネル保護ライナを備え、チャネル保護ライナは、STI領域のうち、第1のナノシート積層体の側壁の部分から、複数のフィンのうちの第2のフィンの上に配置された複数のナノシート積層体のうちの第2のナノシート積層体の方へ延びる部分の上に、さらに配置される。半導体構造体は、複数のナノシート積層体のうち、チャネル保護ライナによって露出された部分を取り囲む複数のゲート積層体と、チャネル保護ライナの上に配置された少なくとも1つの非対称の自己整合ゲート分離構造体と、STI領域のうち、複数のフィンのうちの第3のフィンと複数のフィンのうちの第4のフィンとの間の部分の上に配置された少なくとも1つの対称の自己整合ゲート分離構造体とをさらに備える。
チャネル保護ライナの上に配置された非対称の自己整合ゲート分離構造体は、第1のナノシート積層体の側壁を取り囲むチャネル保護ライナに隣接して配置された第1の部分と、第1のナノシート積層体の頂面の部分を取り囲むチャネル保護ライナの頂面の上に配置された第2の部分とを備えることができる。
第1のナノシート積層体および第2のナノシート積層体は、少なくとも1つのSRAMデバイス構造体のナノシートFETのためのナノシート・チャネルを提供することができる。第1のナノシート積層体は、pFETのためのナノシート・チャネルを提供することができ、第2のナノシート積層体は、nFETのためのナノシート・チャネルを提供する。複数のナノシート積層体のうち、第3のフィンの上に配置された第3のナノシート積層体、および複数のナノシート積層体のうち、第4のフィンの上に配置された第4のナノシート積層体は、1つまたは複数の論理デバイス構造体のナノシートFETのためのナノシート・チャネルを提供することができる。
いくつかの実施形態では、集積回路は、上述した半導体構造体を備えるナノシートFET構造体を備える。
これらの図に示す様々な層、構造体、および領域は概略図であり、原寸に比例して描かれていないことを理解されたい。加えて、説明を容易にするために、半導体デバイスまたは構造体を形成するために一般に使用されるタイプの1つまたは複数の層、構造体、および領域は、所与の図に明示的に示されていないことがある。これは、明示的に示されていないあらゆる層、構造体、および領域が、実際の半導体構造体から省略されることを示唆するものではない。さらに、本明細書に論じる実施形態は、本明細書に図示および記載する特定の材料、特徴、および処理ステップに限定されるものではないことを理解されたい。特に、半導体処理ステップに関連して、本明細書に提供する説明は、機能的半導体集積回路デバイスを形成するために必要とされうる処理ステップのすべてを包含することを意図したものではないことが強調されるべきである。逆に、たとえば湿式洗浄およびアニーリング・ステップなど、半導体デバイスを形成する際に一般に使用される特定の処理ステップについては、説明の無駄をなくすために、本明細書では意図的に記載されていない。
さらに、これらの図全体にわたって、同じまたは類似の特徴、要素、または構造体を指すために、同じまたは類似の参照番号が使用され、したがって、同じまたは類似の特徴、要素、または構造体の詳細な説明は、これらの図の各々に対して繰り返されていない。本明細書では、厚さ、幅、百分率、範囲などに関連する「約」または「実質的に」という用語は、厳密であることではなく、近接または近似を示すことを意味することを理解されたい。たとえば、本明細書では、「約」または「実質的に」という用語は、記載されている量の±5%、好ましくは2%未満または1%以下などの小さい誤差範囲が存在することを示唆する。
上記の説明では、異なる要素に対する様々な材料および寸法が提供されている。別途記載されない限り、そのような材料は、例示のみを目的として与えられており、実施形態が所与の特有の例のみに限定されるものではない。同様に、別途記載されない限り、あらゆる寸法は、例示を目的として与えられており、実施形態が所与の特有の寸法または範囲のみに限定されるものではない。
上述した技術による半導体デバイスおよびその形成方法は、様々な応用例、ハードウェア、または電子システム、あるいはその組合せで用いることができる。本発明の実施形態を実施するのに好適なハードウェアおよびシステムには、それだけに限定されるものではないが、パーソナルコンピュータ、通信ネットワーク、電子商取引システム、携帯型通信デバイス(たとえば、携帯電話およびスマートフォン)、固体状態媒体記憶デバイス、機能的回路などを含むことができる。これらの半導体デバイスを組み込むシステムおよびハードウェアが、本発明の実施形態で企図される。本明細書に提供される教示を考慮すると、当業者であれば、本発明の実施形態の他の実装および応用例を企図することが可能である。
いくつかの実施形態では、上述した技術は、たとえば相補形金属酸化膜半導体(CMOS)、金属酸化膜半導体電界効果トランジスタ(MOSFET)、またはフィン電界効果トランジスタ(FinFET)、あるいはその組合せを必要としまたは他の形で利用することができる半導体デバイスに関連して使用される。非限定的な例として、半導体デバイスは、それだけに限定されるものではないが、CMOS、MOSFET、およびFinFETデバイス、ならびに/またはCMOS、MOSFET、および/もしくはFinFET技術を使用する半導体デバイスを含むことができる。
上述した様々な構造体は、集積回路内で実施することができる。その結果得られる集積回路チップは、生ウエハの形で(すなわち、複数のパッケージされていないチップを有する単一のウエハとして)、ベア・ダイとして、またはパッケージされた形成で、製作者が流通させることができる。後者の場合、チップは、単一のチップ・パッケージ(マザーボードに取り付けられたリードを有するプラスチック・キャリア、または他のより高レベルのキャリアなど)、またはマルチチップ・パッケージ(表面相互接続または埋込み相互接続の一方または両方を有するセラミック・キャリアなど)に取り付けられる。いずれにせよ、チップは次いで、(a)マザーボードなどの中間製品、または(b)最終製品の一部として、他のチップ、離散回路要素、または他の信号処理デバイス、あるいはその組合せと一体化される。最終製品は、玩具および他の低性能の応用例から、ディスプレイ、キーボード、または他の入力デバイス、および中央処理装置を有する高度なコンピュータ製品の範囲まで、集積回路チップを含む任意の製品とすることができる。
本発明の様々な実施形態の説明は、例示の目的で提示されたものであり、網羅的であること、または開示する実施形態に限定されることを意図したものではない。記載する実施形態の範囲から逸脱することなく、多くの変更形態および変形形態が、当業者には明らかである。本明細書で使用される術語は、実施形態の原理、実際的な応用例、もしくは市場で見られる技術に対する技術的改善について最もよく説明するために、または本明細書に開示する実施形態を当業者であれば理解することが可能になるように、選択されたものである。

Claims (21)

  1. 半導体構造体を形成する方法であって、
    基板の頂面の上に複数のフィンを形成することと、
    前記複数のフィンを取り囲んで前記基板の前記頂面の上にシャロー・トレンチ分離領域を形成することと、
    前記複数のフィンの上にチャネル材料の複数のナノシート積層体を形成することであり、前記複数のナノシート積層体が、1つまたは複数のナノシート電界効果トランジスタのためのチャネルを提供する、前記形成することと、
    前記複数のフィンのうちの第1のフィンの上に形成された前記複数のナノシート積層体のうちの第1のナノシート積層体の側壁の一部分および頂面の一部分の上にチャネル保護ライナを形成することであり、前記チャネル保護ライナが、前記シャロー・トレンチ分離領域のうち、前記第1のナノシート積層体の前記側壁の前記部分から、前記複数のフィンのうちの第2のフィンの上に形成された前記複数のナノシート積層体のうちの第2のナノシート積層体の方へ延びる部分の上に、さらに形成される、前記形成することと、
    前記複数のナノシート積層体のうち、前記チャネル保護ライナによって露出された部分を取り囲んで、複数のゲート積層体を形成することと、
    前記チャネル保護ライナの上に少なくとも1つの非対称の自己整合ゲート分離構造体を形成することと、
    前記シャロー・トレンチ分離領域のうち、前記複数のフィンのうちの第3のフィンと前記複数のフィンのうちの第4のフィンとの間の部分の上に、少なくとも1つの対称の自己整合ゲート分離構造体を形成することと
    を含む、方法。
  2. 前記チャネル保護ライナの上に形成された前記非対称の自己整合ゲート分離構造体が、
    前記第1のナノシート積層体の側壁を取り囲む前記チャネル保護ライナに隣接して形成された第1の部分と、
    前記第1のナノシート積層体の前記頂面の前記部分を取り囲む前記チャネル保護ライナの頂面の上に形成された第2の部分とを備える、請求項1に記載の方法。
  3. 前記第1のナノシート積層体および前記第2のナノシート積層体が、少なくとも1つのスタティック・ランダム・アクセス・メモリ・デバイス構造体のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する、請求項1に記載の方法。
  4. 前記第1のナノシート積層体が、p型ナノシート電界効果トランジスタのためのナノシート・チャネルを提供し、前記第2のナノシート積層体が、n型ナノシート電界効果トランジスタのためのナノシート・チャネルを提供する、請求項3に記載の方法。
  5. 前記複数のナノシート積層体のうち、前記第3のフィンの上に形成された第3のナノシート積層体、および前記複数のナノシート積層体のうち、前記第4のフィンの上に形成された第4のナノシート積層体が、1つまたは複数の論理デバイス構造体のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する、請求項3に記載の方法。
  6. 前記チャネル保護ライナを形成することが、
    前記複数のナノシート積層体を取り囲んで、前記複数のフィン間の前記シャロー・トレンチ分離領域の上に前記チャネル保護ライナを形成することと、
    前記チャネル保護ライナの上にダミー・ゲート構造体を形成することと、
    前記ダミー・ゲート構造体の上にゲート・ハード・マスクをパターニングすることと、
    前記ダミー・ゲート構造体のうち、前記パターニングされたゲート・ハード・マスクによって露出された部分を除去することと
    を含む、請求項1に記載の方法。
  7. 前記チャネル保護ライナを形成することが、
    前記ダミー・ゲート構造体の除去によって形成された空間内にソース/ドレイン領域を形成することと、
    前記ダミー・ゲート構造体の残り部分を除去することと
    をさらに含む、請求項6に記載の方法。
  8. 前記チャネル保護ライナを形成することが、
    前記チャネル保護ライナのうち、前記第1のナノシート積層体の前記側壁および前記頂面の前記部分を取り囲む部分、ならびに前記シャロー・トレンチ分離領域のうち、前記第1のナノシート積層体の前記側壁の前記部分から前記第2のナノシート積層体の方へ延びる前記部分の上に、マスク層をパターニングすることと、
    前記チャネル保護ライナのうち、前記パターニングされたマスク層によって露出された部分を除去することと
    をさらに含む、請求項7に記載の方法。
  9. 前記複数のナノシート積層体を形成することが、交互の犠牲材料層およびチャネル材料層を形成することを含み、前記複数のナノシート積層体のうち、前記チャネル保護ライナによって露出された部分の上に、追加の犠牲材料を選択的に成長させることをさらに含む、請求項8に記載の方法。
  10. 前記非対称の自己整合ゲート分離構造体および前記対称の自己整合ゲート分離構造体を形成することが、前記追加の犠牲材料を取り囲んで前記半導体構造体の上に分離材料を形成することを含む、請求項9に記載の方法。
  11. 前記非対称の自己整合ゲート分離構造体および前記対称の自己整合ゲート分離構造体を形成することが、前記分離材料および前記追加の犠牲材料の上に有機平坦化層を形成することをさらに含む、請求項10に記載の方法。
  12. 前記非対称の自己整合ゲート分離構造体および前記対称の自己整合ゲート分離構造体を形成することが、前記有機平坦化層をパターニングして、(i)前記非対称の自己整合ゲート分離構造体のための前記チャネル保護ライナの上に形成された前記分離材料の少なくとも一部分、ならびに(ii)前記対称の自己整合ゲート分離構造体のための前記第3および第4のナノシート積層体間の前記シャロー・トレンチ分離領域の上に形成された前記分離材料の少なくとも一部分を露出させることをさらに含む、請求項11に記載の方法。
  13. 前記非対称の自己整合ゲート分離構造体および前記対称の自己整合ゲート分離構造体を形成することが、前記有機平坦化層をパターニングすることによって露出された領域内に追加の分離材料を充填することをさらに含む、請求項12に記載の方法。
  14. 前記複数のゲート積層体を形成することが、前記有機平坦化層、ナノシート・チャネル積層体の前記犠牲材料、および前記追加の犠牲材料を除去することを含む、請求項13に記載の方法。
  15. 前記複数のゲート積層体を形成することが、前記有機平坦化層、ナノシート・チャネル積層体の前記犠牲材料、および前記追加の犠牲材料の除去によって形成された空間内に、ゲート誘電体およびゲート導体を形成することをさらに含む、請求項13に記載の方法。
  16. 半導体構造体であって、
    基板と、
    前記基板の頂面の上に配置された複数のフィンと、
    前記複数のフィンを取り囲んで前記基板の前記頂面の上に配置されたシャロー・トレンチ分離領域と、
    前記複数のフィンの上に配置されたチャネル材料の複数のナノシート積層体であり、1つまたは複数のナノシート電界効果トランジスタのためのチャネルを提供する前記複数のナノシート積層体と、
    前記複数のフィンのうちの第1のフィンの上に配置された前記複数のナノシート積層体のうちの第1のナノシート積層体の側壁の一部分および頂面の一部分の上に配置されたチャネル保護ライナであり、前記シャロー・トレンチ分離領域のうち、前記第1のナノシート積層体の前記側壁の前記部分から、前記複数のフィンのうちの第2のフィンの上に配置された前記複数のナノシート積層体のうちの第2のナノシート積層体の方へ延びる部分の上に、さらに配置された前記チャネル保護ライナと、
    前記複数のナノシート積層体のうち、前記チャネル保護ライナによって露出された部分を取り囲む複数のゲート積層体と、
    前記チャネル保護ライナの上に配置された少なくとも1つの非対称の自己整合ゲート分離構造体と、
    前記シャロー・トレンチ分離領域のうち、前記複数のフィンのうちの第3のフィンと複数のフィンのうちの第4のフィンとの間の部分の上に配置された少なくとも1つの対称の自己整合ゲート分離構造体と
    を備える、半導体構造体。
  17. 前記チャネル保護ライナの上に配置された前記非対称の自己整合ゲート分離構造体が、
    前記第1のナノシート積層体の側壁を取り囲む前記チャネル保護ライナに隣接して配置された第1の部分と、
    前記第1のナノシート積層体の前記頂面の前記部分を取り囲む前記チャネル保護ライナの頂面の上に配置された第2の部分と
    を備える、請求項16に記載の半導体構造体。
  18. 前記第1のナノシート積層体および前記第2のナノシート積層体が、少なくとも1つのスタティック・ランダム・アクセス・メモリ・デバイス構造体のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する、請求項16に記載の半導体構造体。
  19. 前記第1のナノシート積層体が、p型ナノシート電界効果トランジスタのためのナノシート・チャネルを提供し、前記第2のナノシート積層体が、n型ナノシート電界効果トランジスタのためのナノシート・チャネルを提供する、請求項18に記載の半導体構造体。
  20. 前記複数のナノシート積層体のうち、前記第3のフィンの上に配置された第3のナノシート積層体、および前記複数のナノシート積層体のうち、前記第4のフィンの上に配置された第4のナノシート積層体が、1つまたは複数の論理デバイス構造体のナノシート電界効果トランジスタのためのナノシート・チャネルを提供する、請求項18に記載の半導体構造体。
  21. 集積回路であって、
    請求項16ないし20のいずれか一項に記載の半導体構造体を備えるナノシート電界効果トランジスタ構造体を備える、集積回路。
JP2022500785A 2019-07-15 2020-06-15 非対称のカット配置を有する自己整合ゲート分離 Active JP7493579B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/511,640 2019-07-15
US16/511,640 US10832916B1 (en) 2019-07-15 2019-07-15 Self-aligned gate isolation with asymmetric cut placement
PCT/IB2020/055576 WO2021009579A1 (en) 2019-07-15 2020-06-15 Self-aligned gate isolation with asymmetric cut placement

Publications (2)

Publication Number Publication Date
JP2022540428A JP2022540428A (ja) 2022-09-15
JP7493579B2 true JP7493579B2 (ja) 2024-05-31

Family

ID=73052215

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022500785A Active JP7493579B2 (ja) 2019-07-15 2020-06-15 非対称のカット配置を有する自己整合ゲート分離

Country Status (6)

Country Link
US (1) US10832916B1 (ja)
JP (1) JP7493579B2 (ja)
CN (1) CN114097093A (ja)
DE (1) DE112020002838T5 (ja)
GB (1) GB2600316B (ja)
WO (1) WO2021009579A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12002715B2 (en) * 2019-10-29 2024-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof
US11817504B2 (en) 2021-01-26 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd Isolation structures and methods of forming the same in field-effect transistors
EP4338208A1 (en) * 2021-06-08 2024-03-20 Huawei Technologies Co., Ltd. Semiconductor architecture and method of manufacturing semiconductor architecture
US20220399333A1 (en) * 2021-06-14 2022-12-15 Intel Corporation Integrated circuit structures having metal gates with reduced aspect ratio cuts
CN113488474A (zh) * 2021-07-15 2021-10-08 广东省大湾区集成电路与系统应用研究院 一种高密度静态随机存储器比特单元结构及其工艺方法
US20230057326A1 (en) * 2021-08-19 2023-02-23 Intel Corporation Self-aligned gate cut structures
US20230093657A1 (en) * 2021-09-22 2023-03-23 Intel Corporation Integrated circuit structures having dielectric gate wall and dielectric gate plug
US20230187444A1 (en) * 2021-12-13 2023-06-15 Sukru Yemenicioglu Integrated circuit structures having gate cut offset
US20230187517A1 (en) * 2021-12-14 2023-06-15 Intel Corporation Integrated circuit structures having dielectric anchor void
US20230282483A1 (en) * 2022-03-03 2023-09-07 Intel Corporation Gate cut structures formed before dummy gate
EP4293720A1 (en) * 2022-06-15 2023-12-20 Imec VZW Bit cell with isolating wall
US20240113107A1 (en) * 2022-09-30 2024-04-04 Intel Corporation Gate cut, with asymmetrical channel to gate cut spacing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013516083A (ja) 2009-12-30 2013-05-09 インテル コーポレイション 自己整合コンタクト
US20190139830A1 (en) 2017-11-03 2019-05-09 Globalfoundries Inc. Self-aligned gate isolation
US20190189739A1 (en) 2017-12-18 2019-06-20 International Business Machines Corporation Ifinfet

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6100123A (en) 1998-01-20 2000-08-08 International Business Machines Corporation Pillar CMOS structure
US6982460B1 (en) 2000-07-07 2006-01-03 International Business Machines Corporation Self-aligned gate MOSFET with separate gates
US8216903B2 (en) 2005-09-29 2012-07-10 Texas Instruments Incorporated SRAM cell with asymmetrical pass gate
US7223650B2 (en) 2005-10-12 2007-05-29 Intel Corporation Self-aligned gate isolation
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9373641B2 (en) 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US9461114B2 (en) * 2014-12-05 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor devices with structures for suppression of parasitic bipolar effect in stacked nanosheet FETs and methods of fabricating the same
US9425291B1 (en) * 2015-12-09 2016-08-23 International Business Machines Corporation Stacked nanosheets by aspect ratio trapping
US9685539B1 (en) * 2016-03-14 2017-06-20 International Business Machines Corporation Nanowire isolation scheme to reduce parasitic capacitance
WO2018004680A1 (en) 2016-07-01 2018-01-04 Intel Corporation Self-aligned gate edge trigate and finfet devices
US9842914B1 (en) * 2016-08-19 2017-12-12 International Business Machines Corporation Nanosheet FET with wrap-around inner spacer
DE112016007104T5 (de) 2016-09-30 2019-04-18 Intel Corporation Dual-finne-endkappe für selbstjustierte (sage) architekturen
EP3577689A4 (en) * 2017-02-04 2021-06-02 Monolithic 3D Inc. 3D SEMICONDUCTOR COMPONENT AND STRUCTURE
US10177037B2 (en) 2017-04-25 2019-01-08 Globalfoundries Inc. Methods of forming a CT pillar between gate structures in a semiconductor
US9984936B1 (en) * 2017-07-17 2018-05-29 Globalfoundries Inc. Methods of forming an isolated nano-sheet transistor device and the resulting device
US9960077B1 (en) 2017-08-17 2018-05-01 Globalfoundries Inc. Ultra-scale gate cut pillar with overlay immunity and method for producing the same
US10297667B1 (en) * 2017-12-22 2019-05-21 International Business Machines Corporation Fin field-effect transistor for input/output device integrated with nanosheet field-effect transistor
US10262890B1 (en) * 2018-03-09 2019-04-16 International Business Machines Corporation Method of forming silicon hardmask
US10367061B1 (en) * 2018-03-30 2019-07-30 International Business Machines Corporation Replacement metal gate and inner spacer formation in three dimensional structures using sacrificial silicon germanium
US10332803B1 (en) * 2018-05-08 2019-06-25 Globalfoundaries Inc. Hybrid gate-all-around (GAA) field effect transistor (FET) structure and method of forming
US10332809B1 (en) * 2018-06-21 2019-06-25 International Business Machines Corporation Method and structure to introduce strain in stack nanosheet field effect transistor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013516083A (ja) 2009-12-30 2013-05-09 インテル コーポレイション 自己整合コンタクト
US20190139830A1 (en) 2017-11-03 2019-05-09 Globalfoundries Inc. Self-aligned gate isolation
US20190189739A1 (en) 2017-12-18 2019-06-20 International Business Machines Corporation Ifinfet

Also Published As

Publication number Publication date
GB2600316B (en) 2023-05-24
US10832916B1 (en) 2020-11-10
DE112020002838T5 (de) 2022-02-24
WO2021009579A1 (en) 2021-01-21
GB202200795D0 (en) 2022-03-09
GB2600316A (en) 2022-04-27
CN114097093A (zh) 2022-02-25
JP2022540428A (ja) 2022-09-15

Similar Documents

Publication Publication Date Title
JP7493579B2 (ja) 非対称のカット配置を有する自己整合ゲート分離
US10707128B2 (en) Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US10170465B2 (en) Co-fabrication of vertical diodes and fin field effect transistors on the same substrate
US10522636B2 (en) Fin field-effect transistor for input/output device integrated with nanosheet field-effect transistor
JP7356982B2 (ja) 縦型輸送電界効果トランジスタのための半導体構造を形成する方法、半導体構造、および集積回路
US11164791B2 (en) Contact formation for stacked vertical transport field-effect transistors
US11139215B2 (en) Hybrid gate stack integration for stacked vertical transport field-effect transistors
US20190341489A1 (en) Forming a combination of long channel devices and vertical transport fin field effect transistors on the same substrate
US11695038B2 (en) Forming single and double diffusion breaks for fin field-effect transistor structures
US10985064B2 (en) Buried power and ground in stacked vertical transport field effect transistors
US11257681B2 (en) Using a same mask for direct print and self-aligned double patterning of nanosheets
US20220045196A1 (en) Uniform interfacial layer on vertical fin sidewalls of vertical transport field-effect transistors
US10777468B1 (en) Stacked vertical field-effect transistors with sacrificial layer patterning
US20200219777A1 (en) Gate-last process for vertical transport field-effect transistor
US10811322B1 (en) Different gate widths for upper and lower transistors in a stacked vertical transport field-effect transistor structure
JP7422765B2 (ja) 積層垂直輸送電界効果トランジスタのための2重輸送配向
US10388570B2 (en) Substrate with a fin region comprising a stepped height structure
US11984493B2 (en) Formation of nanosheet transistor channels using epitaxial growth
US20240096952A1 (en) Nanosheet stacks with dielectric isolation layers
US20240204042A1 (en) Diffusion break structure for transistors

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220518

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240521

R150 Certificate of patent or registration of utility model

Ref document number: 7493579

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150