JP2022509235A - 半導体デバイス、接合構造および半導体デバイスの形成方法 - Google Patents

半導体デバイス、接合構造および半導体デバイスの形成方法 Download PDF

Info

Publication number
JP2022509235A
JP2022509235A JP2021530281A JP2021530281A JP2022509235A JP 2022509235 A JP2022509235 A JP 2022509235A JP 2021530281 A JP2021530281 A JP 2021530281A JP 2021530281 A JP2021530281 A JP 2021530281A JP 2022509235 A JP2022509235 A JP 2022509235A
Authority
JP
Japan
Prior art keywords
layer
contact
bonding
conductive material
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021530281A
Other languages
English (en)
Inventor
フオ・ゾンリアン
リウ・ジュン
ズ・ジフェン
チェン・ジュン
クン フア・ジ
ホン シャオ・リ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2022509235A publication Critical patent/JP2022509235A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05681Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/29157Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/29184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80031Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)
  • Wire Bonding (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Figure 2022509235000001
接合半導体構造およびその製造方法の実施形態が開示される。一例では、半導体デバイスは、第1の半導体構造と、第2の半導体構造と、第1の半導体構造と第2の半導体構造との間の接合界面とを含む。第1の半導体構造は、基板と、基板上に配置された第1のデバイス層と、第1のデバイス層の上に配置され、第1の接合コンタクトを含む第1の接合層とを含む。第2の半導体構造は、第2のデバイス層と、第2のデバイス層の下に配置され、第2の接合コンタクトを含む第2の接合層とを含む。第1の接合コンタクトは、接合界面において第2の接合コンタクトと接している。第1の接合コンタクトまたは第2の接合コンタクトの少なくとも一方は、非拡散性導電材料で作られる。

Description

本開示の実施形態は、接合半導体構造およびその製造方法に関する。
メモリセルなどの平面半導体デバイスは、プロセス技術、回路設計、プログラミングアルゴリズム、および製造プロセスを改善することによって、より小さいサイズにスケーリングされる。しかしながら、半導体デバイスの形状サイズが下限に近づくにつれて、平面プロセスおよび製造技術は難しくなり、費用がかかるようになる。三次元(3D)デバイスアーキテクチャは、いくつかの平面半導体デバイス、例えばフラッシュメモリデバイスにおける密度制限に対処することができる。
3D半導体デバイスは、半導体ウェハまたはダイを積層し、例えば、シリコン貫通ビア(TSV)または銅-銅(Cu-Cu)接続を使用してそれらを垂直に相互接続することによって形成することができ、その結果、得られた構造は、単一のデバイスとして機能し、従来の平面プロセスよりも少ない電力とフットプリントで性能改善を達成する。半導体基板を積層するための種々の技術の中でも、ハイブリッド接合は、高密度な相互接続を形成することができるため、有望な技術の1つとして認識されている。
半導体デバイス、接合構造、およびそれらの製造方法の実施形態が本明細書に開示される。
一例では、半導体デバイスは、第1の半導体構造と、第2の半導体構造と、第1の半導体構造と第2の半導体構造との間の接合界面とを含む。第1の半導体構造は、基板と、基板上に配置された第1のデバイス層と、第1のデバイス層の上に配置され、第1の接合コンタクトを含む第1の接合層とを含む。第2の半導体構造は、第2のデバイス層と、第2のデバイス層の下に配置され、第2の接合コンタクトを含む第2の接合層とを含む。第1の接合コンタクトは、接合界面において第2の接合コンタクトと接している。第1の接合コンタクトまたは第2の接合コンタクトの少なくとも一方は、非拡散性導電材料で作られる。
別の例では、接合構造は、第1の接合コンタクトおよび第1の誘電体を含む第1の接合層と、第2の接合コンタクトおよび第2の誘電体を含む第2の接合層と、第1の接合層と第2の接合層との間の接合界面とを含む。第1の接合コンタクトは、接合界面において第2の接合コンタクトと接しており、第1の誘電体は、接合界面において第2の誘電体と接している。第1の接合コンタクトおよび第2の接合コンタクトの各々は、銅(Cu)以外の同じ非拡散性導電材料で作られる。
さらに別の例では、半導体デバイスを形成するための方法が開示される。第1の基板の上に第1のデバイス層が形成される。第1のデバイス層の上に、第1の接合コンタクトを含む第1の接合層が形成される。第1の接合コンタクトは、第1の非拡散性導電材料で作られる。第2の基板の上に第2のデバイス層が形成される。第2のデバイス層の上に、第2の接合コンタクトを含む第2の接合層が形成される。第1の基板および第2の基板は、第1の接合コンタクトが接合界面において第2の接合コンタクトと接するように、対面方式で接合される。
本明細書に組み込まれ、本明細書の一部を形成する添付の図面は、本開示の実施形態を示し、説明と共に、本開示の原理を説明し、当業者が本開示を作成および使用することを可能にするのにさらに役立つ。
本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む例示的な接合半導体デバイスの断面図を示す。 本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む例示的な接合構造の断面図を示す。 本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む第1の半導体構造を形成するための例示的な製造プロセスを示す。 本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む第1の半導体構造を形成するための例示的な製造プロセスを示す。 本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む第1の半導体構造を形成するための例示的な製造プロセスを示す。 本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む第2の半導体構造を形成するための例示的な製造プロセスを示す。 本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む第2の半導体構造を形成するための例示的な製造プロセスを示す。 本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む第2の半導体構造を形成するための例示的な製造プロセスを示す。 本開示のいくつかの実施形態による、第1の半導体構造と第2の半導体構造とを接合するための例示的な製造プロセスを示す。 本開示のいくつかの実施形態による、第1の半導体構造と第2の半導体構造とを接合するための例示的な製造プロセスを示す。 本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む半導体デバイスを形成するための例示的な方法のフローチャートである。
本開示の実施形態について、図面を参照しながら説明する。
特定の構成および配置について説明するが、これは例示のみを目的として行われることを理解されたい。当業者は、本開示の精神および範囲から逸脱することなく、他の構成および配置を使用できることを認識するであろう。本開示が様々な他の用途にも使用できることは、当業者には明らかであろう。
本明細書における「一実施形態(one embodiment)」、「実施形態(an embodiment)」、「例示的な実施形態(an example embodiment)」、「いくつかの実施形態(some embodiments)」などへの言及は、記載された実施形態が特定の特徴、構造、または特性を含み得ることを示すが、すべての実施形態が必ずしも特定の特徴、構造、または特性を含むとは限らないことに留意されたい。さらに、そのような語句は、必ずしも同じ実施形態を指すとは限らない。さらに、特定の特徴、構造、または特性が実施形態に関連して記載されている場合、明示的に記載されているか否かにかかわらず、他の実施形態に関連してそのような特徴、構造、または特性を達成することは、当業者の知識の範囲内である。
一般に、用語は、文脈における使用から少なくとも部分的に理解され得る。例えば、本明細書で使用される「1つまたは複数」という用語は、文脈に少なくとも部分的に依存して、任意の特徴、構造、または特性を単数の意味で説明するために使用されてもよく、または特徴、構造、または特性の組み合わせを複数の意味で説明するために使用されてもよい。同様に、「1つの(a)」、「1つの(an)」、または「その(the)」などの用語は、文脈に少なくとも部分的に依存して、単数形の用法を伝えるか、または複数形の用法を伝えると理解されてもよい。さらに、「に基づく」という用語は、必ずしも排他的な要因のセットを伝達することを意図していないと理解されてもよく、代わりに、同じく文脈に少なくとも部分的に依存して、必ずしも明示的に説明されていない追加の要因の存在を可能にしてもよい。
本開示における「上に(on)」、「上に(above)」、および「上方に(over)」の意味は、「上に(on)」が何かの「直接上に(directly on)」を意味するだけでなく、間に中間特徴部または層を有する何かの「上に(on)」の意味も含み、「上に(above)」または「上方に(over)」は何かの「上に(above)」または「上方に(over)」の意味を意味するだけでなく、間に中間特徴部または層を有さない何かの「上に(above)」または「上方に(over)」である(すなわち、何かの上に直接)という意味も含むことができるように、最も広く解釈されるべきであることは容易に理解されるべきである。
さらに、「真下(beneath)」、「下(below)」、「下側(lower)」、「上(above)」、「上側(upper)」などの空間的に相対的な用語は、本明細書では、図に示すように、1つの要素または特徴と別の要素または特徴との関係を説明するための説明を容易にするために使用され得る。空間的に相対的な用語は、図に示す向きに加えて、使用中または動作中のデバイスの異なる向きを包含することを意図している。装置は、他の方向に向けられてもよく(90度または他の方向に回転されてもよく)、本明細書で使用される空間的に相対的な記述子は、それに応じて同様に解釈されてもよい。
本明細書で使用される場合、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板自体をパターニングすることができる。基板の上に加えられる材料は、パターニングされてもよく、またはパターニングされないままであってもよい。さらに、基板は、シリコン、ゲルマニウム、ヒ化ガリウム、リン化インジウムなどの広範囲の半導体材料を含むことができる。あるいは、基板は、ガラス、プラスチック、またはサファイアウェハなどの非導電材料から作ることができる。
本明細書で使用される場合、「層」という用語は、厚さを有する領域を含む材料部分を指す。層は、下もしくは上にある構造の全体にわたって延在することができ、または下もしくは上にある構造の範囲よりも小さい範囲を有することができる。さらに、層は、連続構造の厚さよりも小さい厚さを有する均一または不均一な連続構造の領域であり得る。例えば、層は、連続構造の上面と底面との間、または上面と底面における任意の対の水平面の間に位置することができる。層は、水平方向、垂直方向、および/またはテーパ面に沿って延在することができる。基板は、層とすることができ、その中に1つまたは複数の層を含むことができ、ならびに/またはその上方、その上、および/もしくはその下に1つまたは複数の層を有することができる。層は複数の層を含むことができる。例えば、相互接続層は、1つまたは複数の導体および接触層(子の中に相互接続線および/またはビアコンタクトが形成される)ならびに1つまたは複数の誘電体層を含むことができる。
本明細書で使用される場合、「名目/名目上」という用語は、製品またはプロセスの設計段階中に設定される、構成要素またはプロセス動作の特性またはパラメータの所望のまたは目標の値を、所望の値より上および/または下の値の範囲と共に指す。値の範囲は、製造プロセスまたは公差のわずかな変動に起因し得る。本明細書で使用される場合、「約」という用語は、対象の半導体デバイスに関連する特定の技術ノードに基づいて変化し得る所与の量の値を示す。特定の技術ノードに基づいて、用語「約」は、例えば、値の10~30%(例えば、値の±10%、±20%、または±30%)の範囲内で変化する所与の量の値を示すことができる。
本明細書で使用される場合、「3Dメモリデバイス」という用語は、メモリストリングが基板に対して垂直方向に延在するように、メモリセルトランジスタの垂直に配向されたストリング(本明細書ではNANDメモリストリングなどの「メモリストリング」と呼ばれる)を横方向に配向された基板上に有する半導体デバイスを指す。本明細書で使用される場合、「垂直/垂直に」という用語は、基板の側面に対して名目上垂直であることを意味する。
高密度、低形状サイズ(例えば、100nm)のハイブリッド接合プロセスでは、導体層として使用される2つの半導体構造の接合コンタクトの金属は銅を含む。しかしながら、ハイブリッド接合プロセス中または使用寿命中に熱膨張による銅移動が発生する可能性があり、したがって、接合後に接合コンタクトに空隙形成をもたらす可能性がある。さらに、接合界面における銅の拡散は、ハイブリッド接合の別の問題であり、これは漏れを引き起こし、接合構造のエレクトロマイグレーション(EM)寿命を短縮する可能性がある。
本開示による様々な実施形態は、ハイブリッド接合界面を改善するための非拡散性導電材料で作られた接合コンタクトを提供する。銅を非拡散性導電材料に置き換えることにより、接合界面を通じた銅拡散を回避することができ、それにより、接合構造の漏れを低減し、EM寿命を延ばす。非拡散性導電材料で作られた接合コンタクトを含む接合層を形成するための製造プロセスは、接合コンタクトによって使用される特定の非拡散性導電材料に基づいて、従来の銅接合コンタクトプロセスから調整することができる。
図1は、本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む例示的な接合半導体デバイス100の断面図を示す。説明を容易にするために、接合半導体デバイス100は、非モノリシック3Dメモリデバイスとして説明される。しかしながら、接合半導体デバイス100は、三次元メモリデバイスに限定されず、以下で詳細に説明するように、接合界面での銅拡散を回避するために非拡散性導電材料で作られた接合コンタクトを使用することができる任意の適切な接合半導体デバイスを含むことができることが理解される。本明細書で開示されるような非拡散性導電材料で作られた接合コンタクトを含む接合半導体デバイスは、図1に示す例に限定されず、論理デバイス、揮発性メモリデバイス(例えば、ダイナミックランダムアクセスメモリ(DRAM)およびスタティックランダムアクセスメモリ(SRAM))、および不揮発性メモリデバイス(例えば、NANDまたはNORフラッシュメモリ)などの任意の他の適切な半導体デバイスを2D、2.5D、または3Dアーキテクチャ内に含むことができることが理解される。
接合半導体デバイス100は、非モノリシック3Dメモリデバイスの一例を表す。「非モノリシック」という用語は、接合半導体デバイス100の構成要素(例えば、周辺デバイスおよびメモリアレイデバイス)を異なる基板上に別々に形成してから接合して、接合半導体デバイスを形成することができることを意味する。接合半導体デバイス100は、シリコン(例えば、単結晶シリコン)、シリコンゲルマニウム(SiGe)、ヒ化ガリウム(GaAs)、ゲルマニウム(Ge)、シリコン・オン・インシュレータ(SOI)、または任意の他の適切な材料を含むことができる基板102を含むことができる。
基板102を有する接合半導体デバイス100における構成要素の空間的関係をさらに示すために、図1にはx軸およびy軸が含まれていることに留意されたい。基板102は、x方向に横方向(すなわち、横方向)に延在する2つの側面(例えば、上面および底面)を含む。本明細書で使用される場合、1つの構成要素(例えば、層またはデバイス)が他の半導体デバイス(例えば、接合半導体デバイス100)の「上に」、「上方に」、または「下に」あるかどうかは、基板が半導体デバイスのy方向の最下面に位置決めされるとき、半導体デバイスの基板(例えば、基板102)に対してy方向(すなわち、垂直方向)に判定される。空間的関係を説明するための同じ概念が、本開示全体にわたって適用される。
接合半導体デバイス100は、2つの半導体構造、すなわち、接合界面158において対面方式で接合された、メモリアレイデバイスチップ160と周辺デバイスチップ162とを含むことができる。いくつかの実施形態では、接合界面158は、直接接合技術(例えば、はんだまたは接着剤などの中間層を使用せずに表面間の接合を形成する)であり、金属-金属接合および誘電体-誘電体接合を同時に得ることができるハイブリッド接合(「金属/誘電体ハイブリッド接合」としても知られる)の結果として、メモリアレイデバイスチップ160と周辺デバイスチップ162との間に配置される。いくつかの実施形態では、接合界面158は、メモリアレイデバイスチップ160および周辺デバイスチップ162が出会い、接合される場所である。実際には、接合界面158は、メモリアレイデバイスチップ160の底面および周辺デバイスチップ162の上面を含む、特定の厚さを有する層とすることができる。図1では、メモリアレイデバイスチップ160は周辺デバイスチップ162の上に配置されているが、いくつかの実施形態では、それらの相対位置を逆にすることができることが理解される。例えば、別の接合半導体デバイスにおいて、周辺デバイスチップ162の下にメモリアレイデバイスチップ160を配置することができる。
周辺デバイスチップ162は、基板102上に周辺デバイス層103を含むことができる。周辺デバイス層103は、基板102上に形成された複数のトランジスタ104を含むことができる。トランジスタ104は、基板102「上に」形成することができ、各トランジスタ104の全体または一部は、基板102内に(例えば、基板102の上面の下)および/または基板102上に直接形成される。分離領域(例えば、シャロー・トレンチ・アイソレーション(STI)、図示せず)およびドープ領域(例えば、トランジスタ104のソース領域およびドレイン領域、図示せず)も、基板102内に形成することができる。
いくつかの実施形態では、周辺デバイス層103は、接合半導体デバイス100の動作を容易にするために使用される任意の適切なデジタル、アナログ、および/または混合信号周辺回路を含むことができる。例えば、周辺デバイス層103は、ページバッファ、デコーダ(例えば、行デコーダおよび列デコーダ)、センス増幅器、ドライバ、チャージポンプ、電流もしくは電圧基準、または回路の任意の能動もしくは受動構成要素(例えば、トランジスタ、ダイオード、抵抗器、またはコンデンサ)のうちの1つまたは複数を含むことができる。いくつかの実施形態では、周辺デバイス層103は、相補型金属酸化膜半導体(COMS)技術(この場合、周辺デバイスチップ162は、「COMSチップ」としても知られている)を使用して基板102上に形成される。
周辺デバイスチップ162は、周辺デバイス層103との間で電気信号を転送するために、周辺デバイス層103の上に相互接続層106(本明細書では「周辺相互接続層」と呼ばれる)を含むことができる。周辺相互接続層106は、横方向相互接続線および垂直相互接続アクセス(ビア)コンタクトを含む複数の相互接続108(本明細書では「コンタクト」とも呼ばれる)を含むことができる。本明細書で使用される場合、「相互接続」という用語は、ミドルエンドオブライン(MEOL)相互接続およびバックエンドオブライン(BEOL)相互接続などの任意の適切なタイプの相互接続を広く含むことができる。周辺相互接続層106は、その中に相互接続108を形成することができる1つまたは複数の層間誘電体(ILD)層(「金属間誘電体(IMD)層」としても知られる)をさらに含むことができる。すなわち、周辺相互接続層106は、複数のILD層内に相互接続線およびビアコンタクトを含むことができる。周辺相互接続層106内の相互接続108は、銅(Cu)、アルミニウム(Al)、タングステン(W)、コバルト(Co)、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電材料を含むことができる。一例では、相互接続108はCuで作られる。別の例では、相互接続108はAlで作られる。周辺相互接続層106内のILD層は、酸化シリコン、窒化シリコン、酸窒化シリコン、低誘電率(low-k)誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
図1に示すように、周辺デバイスチップ162は、接合界面158において、周辺相互接続層106および周辺デバイス層103の上にある接合層111をさらに含むことができる。接合層111は、複数の接合コンタクト112と、接合コンタクト112を電気的に絶縁する誘電体113とを含むことができる。接合コンタクト112は、非拡散性導電材料で作ることができる。接合層111の残りの領域は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体113で形成することができる。一例では、誘電体113は酸化シリコンで作られる。接合層111内の接合コンタクト112および誘電体113は、以下で詳細に説明するようにハイブリッド接合に使用することができる。いくつかの実施形態では、誘電体113と接合コンタクト112との間の段差高さは約-20nmから約20nmであり、例えば-20nmから20nm(例えば、-20nm、-15nm、-10nm、-5nm、0nm、5nm、10nm、15nm、20nm、これらの値のいずれかによって下端を制限される任意の範囲、またはこれらの値のいずれか2つによって定義される任意の範囲)である。すなわち、誘電体113の上面は、接合コンタクト112の上面より上にあってもよく、またはその逆であってもよいが、20nm以下である。
上述したように、接合界面158でのCu拡散を回避するために、接合コンタクト112は、Cuではない非拡散性導電材料で作ることができる。いくつかの実施形態では、非拡散性導電材料は、コバルト(Co)、タンタル(Ta)、タングステン(W)、チタン(Ti)、およびニッケル(Ni)からなる群から選択される金属である。Cuと比較して、Co、Ta、W、Ti、またはNiの拡散は、熱プロセス中または使用寿命中に接合界面158で発生しない。いくつかの実施形態では、非拡散性導電材料は、窒化コバルト(CoN)、窒化タンタル(TaN)、窒化タングステン(WN)、窒化チタン(TiN)、および窒化ニッケル(NiN)からなる群から選択される金属窒化物である。すなわち、非拡散性導電材料は、熱プロセス中または使用寿命中に接合界面158で拡散しない上記の5つの金属の窒化物であり得る。いくつかの実施形態では、非拡散性導電材料は、コバルトケイ化物(CoSi)、タンタルケイ化物(TaSi)、タングステンケイ化物(WSi)、チタンケイ化物(TiSi)、およびニッケルケイ化物(NiSi)からなる群から選択される金属ケイ化物である。すなわち、非拡散性導電材料は、熱プロセス中または使用寿命中に接合界面158で拡散しない上記の5つの金属のコバルトケイ化物であり得る。したがって、接合コンタクト112の非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択することができる。いくつかの実施形態では、接合コンタクト112は、上述の複数の非拡散性導電材料で作られる。非拡散性導電材料を導体層として有することに加えて、接合コンタクト112は、接着層、バリア層、および/またはシード層などの追加の層を含むこともできる。いくつかの実施形態では、接合コンタクト112は、非拡散性導電材料で作られた導体層のみを含み、他の層を含まないことが理解される。
いくつかの実施形態では、メモリアレイデバイスチップ160は、メモリセルが、各々がメモリアレイデバイス層120を通って周辺デバイス層103の上に垂直に延在するNANDメモリストリング114のアレイの形態で提供されるNANDフラッシュメモリデバイスである。メモリアレイデバイス層120は、各々が導体層116および誘電体層118を含む複数の対(本明細書では「導体/誘電体層の対」と呼ばれる)を通って垂直に延在するNANDメモリストリング114を含むことができる。積層された導体/誘電体層の対は、本明細書では「メモリスタック」とも呼ばれる。メモリ内の導体層116および誘電体層118は、垂直方向に交互に積層することができる。
図1に示すように、各NANDメモリストリング114は、半導体チャネル124および誘電体層(「メモリ膜」としても知られる)を含むことができる。いくつかの実施形態では、半導体チャネル124は、アモルファスシリコン、ポリシリコン、または単結晶シリコンなどのシリコンを含む。いくつかの実施形態では、メモリ膜は、トンネル層126、蓄積層128(「電荷トラップ/蓄積層」としても知られる)、およびブロッキング層(図示せず)を含む複合層である。各NANDメモリストリング114は、円筒形状(例えば、柱形状)を有することができる。いくつかの実施形態によれば、半導体チャネル124、トンネル層126、蓄積層128、およびブロッキング層は、この順序で柱の中心から外面に向かって径方向に配置される。トンネル層126は、酸化シリコン、酸窒化シリコン、またはそれらの任意の組み合わせを含むことができる。蓄積層128は、窒化シリコン、酸窒化シリコン、シリコン、またはそれらの任意の組み合わせを含むことができる。ブロッキング層は、酸化シリコン、酸窒化シリコン、高誘電率(高k)誘電体、またはそれらの任意の組み合わせを含むことができる。
いくつかの実施形態では、NANDメモリストリング114は、複数の制御ゲート(各々がワード線の一部である)をさらに含む。メモリスタック内の各導体層116は、各NANDメモリストリング114のメモリセルの制御ゲートとして機能することができる。各NANDメモリストリング114は、その上端にソース選択ゲートを含み、その下端にドレイン選択ゲートを含むことができる。本明細書で使用される場合、構成要素(例えば、NANDメモリストリング114)の「上端」は、y方向において基板102からより遠い端部であり、構成要素(例えば、NANDメモリストリング114)の「下端」は、y方向において基板102により近い端部である。
いくつかの実施形態では、メモリアレイデバイスチップ160は、NANDメモリストリング114の上に接して配置された半導体層130をさらに含む。メモリアレイデバイス層120は、半導体層130の下に配置することができる。いくつかの実施形態では、半導体層130は、分離領域によって電気的に分離された複数の半導体プラグ132を含む。いくつかの実施形態では、各半導体プラグ132は、対応するNANDメモリストリング114の上端に配置され、対応するNANDメモリストリング114のドレインとして機能し、したがって、対応するNANDメモリストリング114の一部と見なすことができる。半導体プラグ132は、単結晶シリコンを含むことができる。半導体プラグ132は、p型またはn型ドーパントによって、ドープされないか、(厚さ方向および/または幅方向に)部分的にドープされるか、または完全にドープされ得る。
いくつかの実施形態では、メモリアレイデバイスチップ160は、1つまたは複数のILD層に形成され、かつワード線(例えば、導体層116)およびNANDメモリストリング114などのメモリアレイデバイス層120内の構成要素と接するローカル相互接続を含む。ローカル相互接続は、ワード線ビアコンタクト136、ソース線ビアコンタクト138、およびビット線ビアコンタクト140を含むことができる。各ローカル相互接続は、W、Co、Cu、Al、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電材料を含むことができる。一例では、ローカル相互接続はCuで作られる。別の例では、ローカル相互接続はAlで作られる。ワード線ビアコンタクト136は、1つまたは複数のILD層を通って垂直に延在することができる。各ワード線ビアコンタクト136は、対応する導体層116と接して、接合半導体デバイス100の対応するワード線を個別にアドレス指定することができる。各ソース線ビアコンタクト138は、対応するNANDメモリストリング114のソースと接することができる。ビット線ビアコンタクト140は、1つまたは複数のILD層を通って垂直に延在することができる。各ビット線ビアコンタクト140は、NANDメモリストリング114の対応する半導体プラグ132(例えば、ドレイン)に電気的に接続して、対応するNANDメモリストリング114を個別にアドレス指定することができる。
周辺デバイスチップ162と同様に、メモリアレイデバイスチップ160も、NANDメモリストリング114との間で電気信号を転送するための相互接続層を含むことができる。図1に示すように、メモリアレイデバイスチップ160は、メモリアレイデバイス層120の下に相互接続層142(本明細書では「アレイ相互接続層」と呼ばれる)を含むことができる。アレイ相互接続層142は、1つまたは複数のILD層内の相互接続線およびビアコンタクトを含む複数の相互接続144を含むことができる。アレイ相互接続層142内の相互接続144は、Cu、Al、W、Co、ケイ化物、またはそれらの任意の組み合わせを含むがこれらに限定されない導電材料を含むことができる。一例では、相互接続144はCuで作られる。別の例では、相互接続144はAlで作られる。アレイ相互接続層142内のILD層は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体材料を含むことができる。
図1に示すように、メモリアレイデバイスチップ160は、接合界面158において、アレイ相互接続層142およびメモリアレイデバイス層120の下にある接合層147をさらに含むことができる。接合層147は、複数の接合コンタクト148と、接合コンタクト148を電気的に絶縁する誘電体149とを含むことができる。接合コンタクト148は、非拡散性導電材料で作ることができる。接合層147の残りの領域は、酸化シリコン、窒化シリコン、酸窒化シリコン、低k誘電体、またはそれらの任意の組み合わせを含むがこれらに限定されない誘電体149で形成することができる。一例では、誘電体149は酸化シリコンで作られる。接合層147内の接合コンタクト148および誘電体149は、以下で詳細に説明するようにハイブリッド接合に使用することができる。いくつかの実施形態では、誘電体149と接合コンタクト148との間の段差高さは約-20nmから約20nmであり、例えば-20nmから20nm(例えば、-20nm、-15nm、-10nm、-5nm、0nm、5nm、10nm、15nm、20nm、これらの値のいずれかによって下端を制限される任意の範囲、またはこれらの値のいずれか2つによって定義される任意の範囲)である。すなわち、誘電体149の底面は、接合コンタクト148の底面より上にあってもよく、またはその逆であってもよいが、20nm以下である。
上述したように、接合界面158でのCu拡散を回避するために、接合コンタクト148は、Cuではない非拡散性導電材料で作ることができる。いくつかの実施形態では、非拡散性導電材料は、Co、Ta、W、Ti、およびNiからなる群から選択される金属である。Cuと比較して、Co、Ta、W、Ti、またはNiの拡散は、熱プロセス中または使用寿命中に接合界面158で発生しない。いくつかの実施形態では、非拡散性導電材料は、CoN、TaN、WN、TiN、およびNiNからなる群から選択される金属窒化物である。すなわち、非拡散性導電材料は、熱プロセス中または使用寿命中に接合界面158で拡散しない上記の5つの金属の窒化物であり得る。いくつかの実施形態では、非拡散性導電材料は、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択される金属ケイ化物である。すなわち、非拡散性導電材料は、熱プロセス中または使用寿命中に接合界面158で拡散しない上記の5つの金属のコバルトケイ化物であり得る。したがって、接合コンタクト148の非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択することができる。いくつかの実施形態では、接合コンタクト148は、上述の複数の非拡散性導電材料で作られる。非拡散性導電材料を導体層として有することに加えて、接合コンタクト148は、接着層、バリア層、および/またはシード層などの追加の層を含むこともできる。いくつかの実施形態では、接合コンタクト148は、非拡散性導電材料で作られた導体層のみを含み、他の層を含まないことが理解される。
上述したように、周辺デバイスチップ162の接合層111内の接合コンタクト112は、第1の非拡散性導電材料で作ることができ、メモリアレイデバイスチップ160の接合層147内の接合コンタクト148は、第2の非拡散性導電材料で作ることができる。いくつかの実施形態では、第1の非拡散性導電材料は第2の非拡散性導電材料と同じであり、これは、接合界面158の両側の接合コンタクト112および接合コンタクト148が同じ非拡散性導電材料で作られることを意味する。いくつかの実施形態では、第1の非拡散性導電材料は第2の非拡散性導電材料とは異なり、これは、接合界面158の両側の接合コンタクト112および接合コンタクト148が異なる非拡散性導電材料で作られることを意味する。いくつかの実施形態では、第1の非拡散性導電材料は第2の非拡散性導電材料とは異なるが、両方とも同じ金属を含有する。一例では、第1および第2の非拡散性導電材料の一方は、Co、Ta、W、Ti、またはNiであり、第1および第2の非拡散性導電材料の他方は、第1の非拡散性導電材料の対応する窒化物またはケイ化物である。別の例では、第1および第2の非拡散性導電材料は、それぞれCo、Ta、W、Ti、またはNiのうちの1つの窒化物およびケイ化物である(例えば、第1の非拡散性導電材料はCoNであり、第2の非拡散性導電材料はCoSiである、等)。
いくつかの実施形態では、周辺デバイスチップ162の接合層111内の接合コンタクト112またはメモリアレイデバイスチップ160の接合層147内の接合コンタクト148は、上述の非拡散性導電材料で作られないことが理解される。一例では、接合コンタクト112または接合コンタクト148は、Cuで作られる。この例では、Cu拡散を完全に回避することはできないが、接合界面の両側の接合コンタクトがCuで作られている既存のデバイスと比較して低減することができる。別の例では、接合コンタクト112または接合コンタクト148は、Cuや、Alなどの上述の非拡散性導電材料以外の導電材料で作られる。それにもかかわらず、接合コンタクト112または接合コンタクト148の少なくとも一方は、接合界面158でのCu拡散を低減または回避するために、上述の非拡散性導電材料で作られる。
図1に示すように、別の相互接続層150(本明細書では「BEOL相互接続層」と呼ばれる)をメモリアレイデバイス層120の上に配置することができ、1つまたは複数のILD層内に相互接続線およびビアコンタクトなどの相互接続152を含むことができる。BEOL相互接続層150は、ワイヤ接合および/またはインターポーザとの接合のために、接合半導体デバイス100の上部に導体パッド156および再分配層(図示せず)をさらに含むことができる。BEOL相互接続層150およびアレイ相互接続層142は、メモリアレイデバイス層120の両側に形成することができる。いくつかの実施形態では、BEOL相互接続層150内の相互接続152および導体パッド156は、接合半導体デバイス100と外部回路との間で電気信号を転送することができる。
図2は、本開示のいくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む例示的な接合構造200の断面図を示す。図1は、メモリアレイデバイスチップ160と周辺デバイスチップ162との接合構造を含む、非拡散性導電材料で作られた接合コンタクトを有する接合半導体デバイス100を示す。本明細書に開示される非拡散性導電材料で作られた接合コンタクトは、様々な構成を有する任意の適切な接合構造で使用できることが理解される。図2は、いくつかの実施形態による、下側接合層202および上側接合層204を含む接合構造200を示す。下側接合層202は、下側接合コンタクト206と、下側接合コンタクト206を電気的に絶縁する下側誘電体208とを含むことができる。同様に、上側接合層204は、上側接合コンタクト210と、上側接合コンタクト210を電気的に絶縁する上側誘電体212とを含むことができる。接合構造200は、下側接合層202と上側接合層204との間に形成された接合界面214をさらに含むことができる。
図2に示すように、上側接合コンタクト210は下側接合コンタクト206に接し、上側誘電体212は下側誘電体208に接している。いくつかの実施形態では、上側接合コンタクト210または下側接合コンタクト206の少なくとも一方は、Cu以外の非拡散性導電材料で作られ、上側誘電体212および下側誘電体208は酸化シリコンで作られる。いくつかの実施形態では、上側接合コンタクト210および下側接合コンタクト206の各々は、Cu以外の同じ非拡散性導電材料で作られる。非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択することができる。上側接合層204と下側接合層202とをハイブリッド接合により接合することができるため、上側接合コンタクト210と下側接合コンタクト206との融着接合と、上側誘電体212と下側誘電体208とのSiOx-SiOx共有結合とを同時に形成することができる。いくつかの実施形態では、上側誘電体212と上側接合コンタクト210との間の第1の段差高さは約-20nmから約20nmであり、下側誘電体208と下側接合コンタクト206との間の第2の段差高さは約-20nmから約20nmである。
接合構造200は、論理デバイス、揮発性メモリデバイス(例えば、ダイナミックランダムアクセスメモリ(DRAM)およびスタティックランダムアクセスメモリ(SRAM))、および不揮発性メモリデバイス(例えば、NANDまたはNORフラッシュメモリ)などの任意の適切な半導体デバイスを、2D、2.5D、または3Dアーキテクチャ内に形成するために、デバイス層、相互接続層、および基板などの他の構造を含むか、またはそれらと組み合わせることができることが理解される。例えば。図2に示すように、接合構造200は、下側接合層202の下に下側相互接続層216と、下側相互接続層216の下に下側デバイス層218とをさらに含むことができる。接合構造200は、上側接合層204の上に上側相互接続層220と、上側相互接続層220の上に上側デバイス層222とをさらに含むことができる。接合構造200を有する半導体デバイスの特定のタイプに応じて、上側デバイス層222および下側デバイス層218は、適切な半導体デバイス(例えば、ダイオード、トランジスタ、コンデンサ、インダクタなど)および半導体デバイスによって形成される任意の適切な回路を含むことができる。
図3A~図3Cは、いくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む第1の半導体構造を形成するための例示的な製造プロセスを示す。図4A~図4Cは、いくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む第2の半導体構造を形成するための例示的な製造プロセスを示す。図5A~図5Bは、いくつかの実施形態による、第1の半導体構造と第2の半導体構造とを接合するための例示的な製造プロセスを示す。図6は、いくつかの実施形態による、非拡散性導電材料で作られた接合コンタクトを含む例示的な半導体デバイスを形成するための方法600のフローチャートである。図3~図6に示す半導体デバイスの例は、図1に示す接合半導体デバイス100を含む。図3~図6を、一緒に説明する。方法600に示される動作は網羅的ではなく、図示された動作のいずれかの前、後、または間に他の動作も実行できることが理解される。さらに、動作のいくつかは、同時に実行されてもよいし、図3~図6に示されている順序とは異なる順序で実行されてもよい。
図6を参照すると、方法600は動作602で開始し、ここでは第1のデバイス層が第1の基板の上に形成される。第1の基板はシリコン基板とすることができる。図3Aに示すように、シリコン基板302の上にデバイス層304が形成される。デバイス層304は、各々がシリコン基板302の上に形成されたメモリスタック(図示せず)を通って垂直に延在する複数のNANDメモリストリング(図示せず)を含むメモリアレイデバイス層とすることができる。
メモリスタックを形成するために、犠牲層(例えば、窒化シリコン)と誘電体層(例えば、酸化シリコン)との交互スタックを含む誘電体スタックを、化学気相堆積(CVD)、物理気相堆積(PVD)、原子層堆積(ALD)、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによってシリコン基板302上に形成することができる。次いで、メモリスタックを、ゲート置換プロセス、すなわち誘電体スタック内の犠牲層を導体層で置き換えることによって、シリコン基板302の上に形成することができる。いくつかの実施形態では、NANDメモリストリングを形成する製造プロセスは、誘電体スタックを通って垂直に延在する半導体チャネルを形成することと、半導体チャネルと誘電体スタックとの間に、トンネル層、蓄積層、およびブロッキング層を含むがこれらに限定されない複合誘電体層(メモリ膜)を形成することとを含む。半導体チャネルおよびメモリ膜は、ALD、CVD、PVD、任意の他の適切なプロセス、またはそれらの任意の組み合わせなどの1つまたは複数の薄膜堆積プロセスによって形成することができる。
方法600は、図6に示すように、動作604に進み、ここでは、第1の相互接続を含む第1の相互接続層が第1のデバイス層の上に形成される。図3Aに示すように、アレイ相互接続層306は、メモリアレイデバイス層304の上に形成することができる。アレイ相互接続層306は、メモリアレイデバイス層304との電気的接続を行うために、複数のILD層内の相互接続線およびビアコンタクトを含む相互接続(図示せず)を含むことができる。いくつかの実施形態では、アレイ相互接続層306は、複数のILD層およびその中に複数のプロセスによって形成された相互接続を含む。例えば、相互接続は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積された導電材料を含むことができる。ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積された誘電材料を含むことができる。
方法600は、図6に示すように、動作606に進み、ここでは、第1の非拡散性材料で作られた第1の接合コンタクトを含む第1の接合層が、第1の相互接続層および第1のデバイス層の上に形成される。第1の接合層にも第1の誘電体を形成することができる。いくつかの実施形態では、第1の非拡散性導電材料はCuではない。第1の非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択することができる。第1の接合層は、第1の誘電体と第1の接合コンタクトとの間の第1の段差高さが約-20nmから約20nmになるように、CMPまたはエッチングによって平坦化することができる。いくつかの実施形態では、第1の相互接続は、第1の非拡散性導電材料以外の導電材料(例えば、CuまたはAl)で作られる。
図3Bに示すように、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって、アレイ相互接続層306の上面に誘電体308が堆積される。図3Cに示すように、アレイ相互接続層306およびメモリアレイデバイス層304の上に接合層312を形成するために、接合コンタクト310が誘電体308内に形成される。接合コンタクト310は、接合コンタクト310を形成する特定の非拡散性導電材料に応じて、複数のプロセスで形成することができる。一例では、接合コンタクト310は、バリア/接着層と、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって、Co、Ta、W、Ti、またはNiで作られ、かつこの順序で堆積された導体層とを含むことができる。接合コンタクト310を形成するための製造プロセスはまた、バリア/接着層および導体層を堆積することができる開口部(例えば、ビア孔および/またはトレンチ)をパターニングおよびエッチングするためのフォトリソグラフィ、CMP、ウェット/ドライエッチング、または任意の他の適切なプロセスを含むことができる。別の例では、CoSi、TaSi、WSi、TiSi、またはNiSiで作られる接合コンタクト310は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスを使用してシリコンおよびそれぞれの金属を堆積し、その後、対応する金属-Si合金(金属ケイ化物)の形成をもたらすアニール(焼結)プロセスを行うことによって形成することができる。さらに別の例では、CoN、TaN、WN、TiN、またはNiNで作られる接合コンタクト310は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスを使用して、対応する窒化化合物を堆積することによって形成することができる。いくつかの実施形態では、CoN、TaN、WN、TiN、またはNiNで作られる接合コンタクト310は、最初に対応する金属を堆積し、続いて窒素注入することによって形成することができる。
図3Cに示すように、接合層312の上面は、将来の接合プロセスのために平坦化される。信頼性の高い接合を確実にするために、いくつかの実施形態では、誘電体308と接合コンタクト310との間の段差高さは、約-20nmから約20nm、例えば-20nmから20nmである。接合コンタクト310を形成する特定の非拡散性導電材料に応じて、接合層312は、CMPまたはエッチングなどの任意の適切なプロセスによって平坦化することができる。一例では、Co、Ta、W、Ti、またはNiで作られる接合コンタクト310は、金属を研磨するのに適したスラリーを用いた金属CMPプロセスによって平坦化することができる。別の例では、CoSi、TaSi、WSi、TiSi、またはNiSiで作られる接合コンタクト310は、ウェットエッチングおよび/またはドライエッチングによって平坦化することができる。さらに別の例では、CoN、TaN、WN、TiN、またはNiNで作られる接合コンタクト310は、ウェットエッチングおよび/またはドライエッチングによって平坦化することができる。
方法600は、図6に示すように、動作608に進み、ここでは第2のデバイス層が第2の基板の上に形成される。第2の基板は、シリコン基板とすることができる。図4Aに示すように、シリコン基板402の上にデバイス層404が形成される。デバイス層404は、フォトリソグラフィ、ドライ/ウェットエッチング、薄膜堆積、熱成長、注入、CMP、および任意の他の適切なプロセスを含むがこれらに限定されない複数のプロセスによってシリコン基板402上に形成された複数のトランジスタ(図示せず)を含む周辺デバイス層とすることができる。
方法600は、図6に示すように、動作610に進み、ここでは、第2の相互接続層が第2のデバイス層の上に形成される。図4Aに示すように、周辺相互接続層406は、周辺デバイス層404の上に形成することができる。周辺相互接続層406は、周辺デバイス層404との電気的接続を行うために、複数のILD層内の相互接続線およびビアコンタクトを含む相互接続(図示せず)を含むことができる。いくつかの実施形態では、周辺相互接続層406は、複数のILD層およびその中に複数のプロセスによって形成された相互接続を含む。例えば、相互接続は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積された導電材料を含むことができる。ILD層は、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって堆積された誘電材料を含むことができる。
方法600は、図6に示すように、動作612に進み、ここでは、第2の接合コンタクトを含む第2の接合層が第2の相互接続層の上に形成される。第2の接合層にも第2の誘電体を形成することができる。いくつかの実施形態によれば、第2の接合コンタクトは、第2の非拡散性導電材料で作られる。いくつかの実施形態では、第2の非拡散性導電材料はCuではない。第2の非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択することができる。第2の接合層は、第2の誘電体と第2の接合コンタクトとの間の第2の段差高さが約-20nmから約20nmになるように、CMPまたはエッチングによって平坦化することができる。いくつかの実施形態では、第2の相互接続は、第2の非拡散性導電材料以外の導電材料(例えば、CuまたはAl)で作られる。いくつかの実施形態では、第1の非拡散性導電材料は、第2の非拡散性導電材料と同じである。いくつかの実施形態では、第1の非拡散性導電材料は、第2の非拡散性導電材料とは異なる。
図4Bに示すように、CVD、PVD、ALD、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって、周辺相互接続層406の上面に誘電体408が堆積される。図4Cに示すように、周辺相互接続層406および周辺デバイス層404の上に接合層412を形成するために、接合コンタクト410が誘電体408内に形成される。接合コンタクト410は、接合コンタクト410を形成する特定の非拡散性導電材料に応じて、複数のプロセスで形成することができる。一例では、接合コンタクト410は、バリア/接着層と、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスによって、Co、Ta、W、Ti、またはNiで作られ、かつこの順序で堆積された導体層とを含むことができる。接合コンタクト410を形成するための製造プロセスはまた、バリア/接着層および導体層を堆積することができる開口部(例えば、ビア孔および/またはトレンチ)をパターニングおよびエッチングするためのフォトリソグラフィ、CMP、ウェット/ドライエッチング、または任意の他の適切なプロセスを含むことができる。別の例では、CoSi、TaSi、WSi、TiSi、またはNiSiで作られる接合コンタクト410は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスを使用してシリコンおよびそれぞれの金属を堆積し、その後、対応する金属-Si合金(金属ケイ化物)の形成をもたらすアニール(焼結)プロセスを行うことによって形成することができる。さらに別の例では、CoN、TaN、WN、TiN、またはNiNで作られる接合コンタクト410は、CVD、PVD、ALD、電気化学堆積、またはそれらの任意の組み合わせを含むがこれらに限定されない1つまたは複数の薄膜堆積プロセスを使用して、対応する窒化化合物を堆積することによって形成することができる。いくつかの実施形態では、CoN、TaN、WN、TiN、またはNiNで作られる接合コンタクト410は、最初に対応する金属を堆積し、続いて窒素注入することによって形成することができる。
図4Cに示すように、接合層412の上面は、将来の接合プロセスのために平坦化される。信頼性の高い接合を確実にするために、いくつかの実施形態では、誘電体408と接合コンタクト410との間の段差高さは、約-20nmから約20nm、例えば-20nmから20nmである。接合コンタクト410を形成する特定の非拡散性導電材料に応じて、接合層412は、CMPまたはエッチングなどの任意の適切なプロセスによって平坦化することができる。一例では、Co、Ta、W、Ti、またはNiで作られる接合コンタクト410は、金属を研磨するのに適したスラリーを用いた金属CMPプロセスによって平坦化することができる。別の例では、CoSi、TaSi、WSi、TiSi、またはNiSiで作られる接合コンタクト410は、ウェットエッチングおよび/またはドライエッチングによって平坦化することができる。さらに別の例では、CoN、TaN、WN、TiN、またはNiNで作られる接合コンタクト410は、ウェットエッチングおよび/またはドライエッチングによって平坦化することができる。
方法600は、図6に示すように、動作614に進み、ここでは、第1の接合コンタクトが接合界面において第2の接合コンタクトと接するように、第1の基板および第2の基板が対面方式で接合される。第1の誘電体は、接合後も第2の誘電体と接することができる。接合はハイブリッド接合とすることができる。
図5Aに示すように、シリコン基板302およびその上に形成されたメモリアレイデバイス層304を上下反転させる。下向きの接合層312は、上向きの接合層412と対面方式で接合される。いくつかの実施形態では、いくつかの実施形態によれば、接合コンタクト410は、ハイブリッド接合の前に接合コンタクト310と位置合わせされ、その結果、接合コンタクト410は、ハイブリッド接合後に接合コンタクト310と接する。いくつかの実施形態では、処理プロセス、例えばプラズマ処理、湿式処理、および/または熱処理が、ハイブリッド接合の前に接合面に適用される。ハイブリッド接合の結果として、図5Bに示すように、接合コンタクト410を接合コンタクト310と相互混合することができ、誘電体408を誘電体308と共有結合することができ、それによって接合層412と接合層312との間に接合界面502を形成することができる。いくつかの実施形態によれば、シリコン基板302は、接合後に薄くされるか、または完全に除去される。
図5Bに示すように、結果として得られる半導体デバイスにおいて、メモリアレイデバイス層304は上下逆さまに反転され、周辺デバイス層404の上にあるが、いくつかの実施形態では、周辺デバイス層404は上下逆さまに反転され、結果として得られる半導体デバイスにおいてメモリアレイデバイス層304の上にあることが理解される。デバイス層304はメモリアレイデバイス層として示され、デバイス層404は周辺デバイス層として示されているが、これらの例は例示のみを目的としており、本開示の実施形態を限定するものではないことがさらに理解される。一例では、デバイス層304は周辺デバイス層とすることができ、デバイス層404はメモリアレイデバイス層とすることができる。別の例では、デバイス層304および404は両方とも周辺デバイス層とすることができる。さらに別の例では、デバイス層304および404は両方ともメモリアレイデバイス層とすることができる。
本開示の一態様によると、半導体デバイスは、第1の半導体構造と、第2の半導体構造と、第1の半導体構造と第2の半導体構造との間の接合界面とを含む。第1の半導体構造は、基板と、基板上に配置された第1のデバイス層と、第1のデバイス層の上に配置され、第1の接合コンタクトを含む第1の接合層とを含む。第2の半導体構造は、第2のデバイス層と、第2のデバイス層の下に配置され、第2の接合コンタクトを含む第2の接合層とを含む。第1の接合コンタクトは、接合界面において第2の接合コンタクトと接している。第1の接合コンタクトまたは第2の接合コンタクトの少なくとも一方は、非拡散性導電材料で作られる。
いくつかの実施形態では、非拡散性導電材料はCuではない。いくつかの実施形態では、非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択される。
いくつかの実施形態では、第1の接合コンタクトは、第1の非拡散性導電材料で作られ、第2の接合コンタクトは、第2の非拡散性導電材料で作られる。いくつかの実施形態では、第1の非拡散性導電材料は、第2の非拡散性導電材料と同じである。いくつかの実施形態では、第1の非拡散性導電材料は、第2の非拡散性導電材料とは異なる。
いくつかの実施形態では、第1の接合層は、第1の誘電体をさらに含み、第2の接合層は、接合界面において第1の誘電体と接する第2の誘電体をさらに含む。いくつかの実施形態では、第1の誘電体と第1の接合コンタクトとの間の第1の段差高さは約-20nmから約20nmであり、第2の誘電体と第2の接合コンタクトとの間の第2の段差高さは約-20nmから約20nmである。いくつかの実施形態によれば、第1の誘電体および第2の誘電体の各々は酸化シリコンで作られる。
いくつかの実施形態では、第1の半導体構造は、第1のデバイス層と第1の接合層との間の第1の相互接続を含む第1の相互接続層をさらに含み、第2の半導体構造は、第2のデバイス層と第2の接合層との間の第2の相互接続を含む第2の相互接続層をさらに含む。いくつかの実施形態によれば、第1の相互接続および第2の相互接続の各々は、非拡散性導電材料以外の導電材料で作られる。
いくつかの実施形態では、第1のデバイス層および第2のデバイス層の一方はNANDメモリストリングを含み、第1のデバイス層および第2のデバイス層の他方は周辺デバイスを含む。
本開示の別の態様によれば、接合構造は、第1の接合コンタクトおよび第1の誘電体を含む第1の接合層と、第2の接合コンタクトおよび第2の誘電体を含む第2の接合層と、第1の接合層と第2の接合層との間の接合界面とを含む。第1の接合コンタクトは、接合界面において第2の接合コンタクトと接しており、第1の誘電体は、接合界面において第2の誘電体と接している。第1の接合コンタクトおよび第2の接合コンタクトの各々は、Cu以外の同じ非拡散性導電材料で作られる。
いくつかの実施形態では、非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択される。
いくつかの実施形態では、第1の誘電体と第1の接合コンタクトとの間の第1の段差高さは約-20nmから約20nmであり、第2の誘電体と第2の接合コンタクトとの間の第2の段差高さは約-20nmから約20nmである。いくつかの実施形態によれば、第1の誘電体および第2の誘電体の各々は酸化シリコンで作られる。
本開示のさらに別の態様によれば、半導体デバイスを形成するための方法が開示される。第1の基板の上に第1のデバイス層が形成される。第1のデバイス層の上に、第1の接合コンタクトを含む第1の接合層が形成される。第1の接合コンタクトは、第1の非拡散性導電材料で作られる。第2の基板の上に第2のデバイス層が形成される。第2のデバイス層の上に、第2の接合コンタクトを含む第2の接合層が形成される。第1の基板および第2の基板は、第1の接合コンタクトが接合界面において第2の接合コンタクトと接するように、対面方式で接合される。
いくつかの実施形態では、第2の接合コンタクトは、第2の非拡散性導電材料で作られる。
いくつかの実施形態では、第1の非拡散性導電材料および第2の非拡散性導電材料の各々はCuではない。いくつかの実施形態では、第1の非拡散性導電材料および第2の非拡散性導電材料の各々は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択される。
いくつかの実施形態では、第1の非拡散性導電材料は、第2の非拡散性導電材料と同じである。いくつかの実施形態では、第1の非拡散性導電材料は、第2の非拡散性導電材料とは異なる。
いくつかの実施形態では、第1の接合層を形成するために、第1の誘電体が第1の接合層内に形成される、第2の接合層を形成するために、第2の接合層内に第2の誘電体が形成され、第1の誘電体は、接合後に接合界面において第2の誘電体と接している。
いくつかの実施形態では、第1の接合層を形成するために、第1の誘電体と第1の接合コンタクトとの間の第1の段差高さが約-20nmから約20nmになるように第1の接合層が平坦化され、第2の接合層を形成するために、第2の誘電体と第2の接合コンタクトとの間の第2の段差高さが約-20nmから約20nmになるように第2の接合層が平坦化される。平坦化は、CMPまたはエッチングを含むことができる。
いくつかの実施形態では、第1の誘電体および第2の誘電体の各々は酸化シリコンで作られる。
いくつかの実施形態では、第1の相互接続を含む第1の相互接続層が第1のデバイス層と第1の接合層との間に形成され、第2の相互接続を含む第2の相互接続層が第2のデバイス層と第2の接合層との間に形成される。いくつかの実施形態によれば、第1の相互接続および第2の相互接続の各々は、第1の非拡散性導電材料または第2の非拡散性導電材料以外の導電材料で作られる。
いくつかの実施形態では、第1のデバイス層および第2のデバイス層の一方を形成するために、NANDメモリストリングが形成され、第1のデバイス層および記第2のデバイス層の他方を形成するために、周辺デバイスが形成される。
いくつかの実施形態では、接合はハイブリッド接合を含む。
特定の実施形態の前述の説明は、本開示の一般的な性質を明らかにするので、他者は、当業者の技術の範囲内で知識を適用することによって、本開示の一般的な概念から逸脱することなく、過度の実験を行うことなく、そのような特定の実施形態を様々な用途に容易に修正および/または適合させることができる。したがって、そのような適合および修正は、本明細書に提示された教示およびガイダンスに基づいて、開示された実施形態の均等物の意味および範囲内にあることが意図されている。本明細書の表現または用語は、本明細書の用語または表現が教示およびガイダンスに照らして当業者によって解釈されるように、限定ではなく説明を目的とするものであることを理解されたい。
本開示の実施形態は、指定された機能およびその関係の実装を示す機能的構成要素を用いて上述されている。これらの機能的構成要素の境界は、説明の便宜上、本明細書では任意に定義されている。指定された機能およびそれらの関係が適切に実行される限り、代替の境界を定義することができる。
発明の概要および要約のセクションは、発明者によって企図される本開示のすべてではないが1つまたは複数の例示的な実施形態を記載することができ、したがって、本開示および添付の特許請求の範囲を限定することを意図するものでは決してない。
本開示の幅および範囲は、上述の例示的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびそれらの均等物によってのみ定義されるべきである。

Claims (28)

  1. 基板と、前記基板の上に配置された第1のデバイス層と、前記第1のデバイス層の上に配置され、第1の接合コンタクトを備える第1の接合層とを備える第1の半導体構造と、
    第2のデバイス層と、前記第2のデバイス層の下に配置され、第2の接合コンタクトを備える第2の接合層とを備える第2の半導体構造と、
    前記第1の半導体構造と前記第2の半導体構造との接合界面と、を備え、
    前記第1の接合コンタクトは、前記接合界面において前記第2の接合コンタクトと接しており、
    前記第1の接合コンタクトおよび前記第2の接合コンタクトの少なくとも一方は、非拡散性導電材料で作られる、半導体デバイス。
  2. 前記非拡散性導電材料はCuではない、請求項1に記載の半導体デバイス。
  3. 前記非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択される、請求項1または2に記載の半導体デバイス。
  4. 前記第1の接合コンタクトは第1の非拡散性導電材料で作られ、前記第2の接合コンタクトは第2の非拡散性導電材料で作られる、請求項1から3のいずれか一項に記載の半導体デバイス。
  5. 前記第1の非拡散性導電材料は、前記第2の非拡散性導電材料と同じである、請求項4に記載の半導体デバイス。
  6. 前記第1の非拡散性導電材料は、前記第2の非拡散性導電材料とは異なる、請求項4に記載の半導体デバイス。
  7. 前記第1の接合層は第1の誘電体をさらに備え、前記第2の接合層は、前記接合界面において前記第1の誘電体と接する第2の誘電体をさらに備える、請求項1から6のいずれか一項に記載の半導体デバイス。
  8. 前記第1の誘電体と前記第1の接合コンタクトとの間の第1の段差高さは約-20nmから約20nmであり、前記第2の誘電体と前記第2の接合コンタクトとの間の第2の段差高さは約-20nmから約20nmである、請求項7に記載の半導体デバイス。
  9. 前記第1の誘電体および前記第2の誘電体の各々は酸化シリコンで作られる、請求項7または8に記載の半導体デバイス。
  10. 前記第1の半導体構造は、前記第1のデバイス層と前記第1の接合層との間の第1の相互接続を備える第1の相互接続層をさらに備え、
    前記第2の半導体構造は、前記第2のデバイス層と前記第2の接合層との間の第2の相互接続を備える第2の相互接続層をさらに備え、
    前記第1の相互接続および前記第2の相互接続の各々は、前記非拡散性導電材料以外の導電材料で作られる、
    請求項1から9のいずれか一項に記載の半導体デバイス。
  11. 前記第1のデバイス層および前記第2のデバイス層の一方はNANDメモリストリングを備え、前記第1のデバイス層および前記第2のデバイス層の他方は周辺デバイスを備える、請求項1から10のいずれか一項に記載の半導体デバイス。
  12. 第1の接合コンタクトおよび第1の誘電体を備える第1の接合層と、
    第2の接合コンタクトおよび第2の誘電体を備える第2の接合層と、
    前記第1の接合層と前記第2の接合層との間の接合界面と、を備え、
    前記第1の接合コンタクトは、前記接合界面において前記第2の接合コンタクトと接しており、前記第1の誘電体は、前記接合界面において前記第2の誘電体と接しており、
    前記第1の接合コンタクトおよび前記第2の接合コンタクトの各々は、Cu以外の同一の非拡散性導電材料で作られる、接合構造。
  13. 前記非拡散性導電材料は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択される、請求項12に記載の接合構造。
  14. 前記第1の誘電体と前記第1の接合コンタクトとの間の第1の段差高さは約-20nmから約20nmであり、前記第2の誘電体と前記第2の接合コンタクトとの間の第2の段差高さは約-20nmから約20nmである、請求項12または13に記載の接合構造。
  15. 前記第1の誘電体および前記第2の誘電体の各々は酸化シリコンで作られる、請求項12から14のいずれか一項に記載の接合構造。
  16. 半導体デバイスの形成方法であって、
    第1の基板の上に第1のデバイス層を形成することと、
    前記第1のデバイス層の上に第1の接合コンタクトを備える第1の接合層を形成することであって、前記第1の接合コンタクトは第1の非拡散性導電材料で作られる、第1の接合層を形成することと、
    第2の基板の上に第2のデバイス層を形成することと、
    前記第2のデバイス層の上に第2の接合コンタクトを備える第2の接合層を形成することと、
    前記第1の接合コンタクトが接合界面において前記第2の接合コンタクトと接触するように、前記第1の基板および前記第2の基板を対面方式で接合することと、を含む方法。
  17. 前記第2の接合コンタクトは第2の非拡散性導電材料で作られる、請求項16に記載の方法。
  18. 前記第1の非拡散性導電材料および前記第2の非拡散性導電材料の各々はCuではない、請求項17に記載の方法。
  19. 前記第1の非拡散性導電材料および前記第2の非拡散性導電材料の各々は、Co、Ta、W、Ti、Ni、CoN、TaN、WN、TiN、NiN、CoSi、TaSi、WSi、TiSi、およびNiSiからなる群から選択される、請求項17または18に記載の方法。
  20. 前記第1の非拡散性導電材料は、前記第2の非拡散性導電材料と同じである、請求項17から19のいずれか一項に記載の方法。
  21. 前記第1の非拡散性導電材料は、前記第2の非拡散性導電材料とは異なる、請求項17から19のいずれか一項に記載の方法。
  22. 前記第1の接合層を形成することは、前記第1の接合層内に第1の誘電体を形成することを含み、
    前記第2の接合層を形成することは、前記第2の接合層内に第2の誘電体を形成することを含み、
    前記第1の誘電体は、前記接合後に前記接合界面において前記第2の誘電体と接している、
    請求項17から21のいずれか一項に記載の方法。
  23. 前記第1の接合層を形成することは、前記第1の誘電体と前記第1の接合コンタクトとの間の第1の段差高さが約-20nmから約20nmになるように、前記第1の接合層を平坦化することをさらに含み、
    前記第2の接合層を形成することは、前記第2の誘電体と前記第2の接合コンタクトとの間の第2の段差高さが約-20nmから約20nmになるように、前記第2の接合層を平坦化することをさらに含む、
    請求項22に記載の方法。
  24. 前記平坦化は、化学機械研磨(CMP)またはエッチングを含む、請求項23に記載の方法。
  25. 前記第1の誘電体および前記第2の誘電体の各々は酸化シリコンで作られる、請求項22から24のいずれか一項に記載の方法。
  26. 前記第1のデバイス層と前記第1の接合層との間に第1の相互接続を備える第1の相互接続層を形成することと、
    前記第2のデバイス層と前記第2の接合層との間に第2の相互接続を備える第2の相互接続層を形成することと、をさらに含み、
    前記第1の相互接続および前記第2の相互接続の各々は、前記第1の非拡散性導電材料または前記第2の非拡散性導電材料以外の導電材料で作られる、
    請求項17から25のいずれか一項に記載の方法。
  27. 前記第1のデバイス層および前記第2のデバイス層の一方を形成することは、NANDメモリストリングを形成することを含み、
    前記第1のデバイス層および前記第2のデバイス層の他方を形成することは、周辺デバイスを形成することを含む、
    請求項16から26のいずれか一項に記載の方法。
  28. 前記接合はハイブリッド接合を含む、請求項16から27のいずれか一項に記載の方法。
JP2021530281A 2019-02-11 2019-02-11 半導体デバイス、接合構造および半導体デバイスの形成方法 Pending JP2022509235A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/074803 WO2020163984A1 (en) 2019-02-11 2019-02-11 Bonded semiconductor structures having bonding contacts made of indiffusible conductive materials and methods for forming the same

Publications (1)

Publication Number Publication Date
JP2022509235A true JP2022509235A (ja) 2022-01-20

Family

ID=67027216

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021530281A Pending JP2022509235A (ja) 2019-02-11 2019-02-11 半導体デバイス、接合構造および半導体デバイスの形成方法

Country Status (7)

Country Link
US (2) US10784225B2 (ja)
EP (1) EP3853893A4 (ja)
JP (1) JP2022509235A (ja)
KR (1) KR20210083328A (ja)
CN (1) CN109964313A (ja)
TW (1) TWI777052B (ja)
WO (1) WO2020163984A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200112013A (ko) * 2019-03-20 2020-10-05 삼성전자주식회사 수직형 반도체 소자
US11562982B2 (en) * 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
CN110249427A (zh) * 2019-04-30 2019-09-17 长江存储科技有限责任公司 具有嵌入式动态随机存取存储器的三维存储器件
JP7427022B2 (ja) 2019-04-30 2024-02-02 長江存儲科技有限責任公司 3次元相変化メモリを伴う3次元メモリデバイス
JP2022528330A (ja) * 2019-08-28 2022-06-10 長江存儲科技有限責任公司 半導体デバイスおよびその製造方法
KR20220129620A (ko) * 2020-04-14 2022-09-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 후면 인터커넥트 구조물들을 갖는 3차원 메모리 디바이스
CN113380640A (zh) * 2020-08-17 2021-09-10 长江存储科技有限责任公司 半导体封装结构及其制造方法
US20220068820A1 (en) * 2020-08-28 2022-03-03 Micron Technology, Inc. Front end of line interconnect structures and associated systems and methods
US11862569B2 (en) * 2020-08-28 2024-01-02 Micron Technology, Inc. Front end of line interconnect structures and associated systems and methods
US11817305B2 (en) 2020-08-28 2023-11-14 Micron Technology, Inc. Front end of line interconnect structures and associated systems and methods
KR20220034273A (ko) 2020-09-10 2022-03-18 삼성전자주식회사 3차원 반도체 메모리 장치 및 이를 포함하는 전자 시스템
US20220093492A1 (en) * 2020-09-18 2022-03-24 Intel Corporation Direct bonding in microelectronic assemblies
US11990448B2 (en) 2020-09-18 2024-05-21 Intel Corporation Direct bonding in microelectronic assemblies
CN113380743A (zh) * 2021-05-13 2021-09-10 中国科学院微电子研究所 芯片单元、芯片组件和芯片单元的制作方法
CN113380742A (zh) * 2021-05-13 2021-09-10 中国科学院微电子研究所 一种键合结构、多晶圆三维集成结构及其制备方法
CN113299601A (zh) * 2021-05-21 2021-08-24 浙江集迈科微电子有限公司 一种多层转接板的晶圆级焊接工艺
CN113410223B (zh) * 2021-06-15 2022-04-08 上海壁仞智能科技有限公司 芯片组及其制造方法
US11817420B2 (en) * 2021-07-19 2023-11-14 Micron Technology, Inc. Systems and methods for direct bonding in semiconductor die manufacturing
CN117995797A (zh) * 2022-11-03 2024-05-07 华为技术有限公司 集成电路及其制备方法、三维集成电路、电子设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008085020A (ja) * 2006-09-27 2008-04-10 Nec Electronics Corp 半導体装置
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法
CN109219885A (zh) * 2018-07-20 2019-01-15 长江存储科技有限责任公司 三维存储器件

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1002676A (en) * 1910-06-07 1911-09-05 Stephen Gray Apparatus for actuating water-motors.
JP5985136B2 (ja) * 2009-03-19 2016-09-06 ソニー株式会社 半導体装置とその製造方法、及び電子機器
JP5544918B2 (ja) 2010-02-16 2014-07-09 住友電気工業株式会社 炭化珪素絶縁ゲート型半導体素子およびその製造方法
JP5553693B2 (ja) * 2010-06-30 2014-07-16 キヤノン株式会社 固体撮像装置及び撮像システム
CN103426732B (zh) * 2012-05-18 2015-12-02 上海丽恒光微电子科技有限公司 低温晶圆键合的方法及通过该方法形成的结构
US9048283B2 (en) * 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
JP6017297B2 (ja) * 2012-12-14 2016-10-26 オリンパス株式会社 半導体装置の製造方法
US9443796B2 (en) * 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9257399B2 (en) * 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
CN104681496B (zh) * 2013-11-27 2018-05-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9299736B2 (en) * 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
JP6203152B2 (ja) * 2014-09-12 2017-09-27 東芝メモリ株式会社 半導体記憶装置の製造方法
KR102211143B1 (ko) * 2014-11-13 2021-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9875989B2 (en) * 2016-01-12 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
CN108886021B (zh) 2016-02-12 2023-07-25 株式会社半导体能源研究所 半导体装置及其制造方法
JP2018148071A (ja) * 2017-03-07 2018-09-20 東芝メモリ株式会社 記憶装置
US10147732B1 (en) * 2017-11-30 2018-12-04 Yangtze Memory Technologies Co., Ltd. Source structure of three-dimensional memory device and method for forming the same
CN107887395B (zh) * 2017-11-30 2018-12-14 长江存储科技有限责任公司 Nand存储器及其制备方法
CN109314116B (zh) * 2018-07-20 2019-10-01 长江存储科技有限责任公司 用于形成三维存储器件的方法
CN109148417A (zh) * 2018-09-03 2019-01-04 长江存储科技有限责任公司 一种晶圆的混合键合结构及方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008085020A (ja) * 2006-09-27 2008-04-10 Nec Electronics Corp 半導体装置
CN109219885A (zh) * 2018-07-20 2019-01-15 长江存储科技有限责任公司 三维存储器件
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法

Also Published As

Publication number Publication date
US20200258857A1 (en) 2020-08-13
US20200381384A1 (en) 2020-12-03
US11430756B2 (en) 2022-08-30
TW202030869A (zh) 2020-08-16
US10784225B2 (en) 2020-09-22
EP3853893A4 (en) 2023-08-16
WO2020163984A1 (en) 2020-08-20
EP3853893A1 (en) 2021-07-28
TWI777052B (zh) 2022-09-11
CN109964313A (zh) 2019-07-02
KR20210083328A (ko) 2021-07-06

Similar Documents

Publication Publication Date Title
US11430756B2 (en) Bonded semiconductor structures having bonding contacts made of indiffusible conductive materials and methods for forming the same
JP7329601B2 (ja) 半導体デバイス、接合構造および半導体デバイスを形成するための方法
US20230317665A1 (en) Bonding contacts having capping layer and method for forming the same
US11699657B2 (en) Three-dimensional memory devices having a plurality of NAND strings located between a substrate and a single crystalline silicon layer
US10797028B2 (en) Three-dimensional memory devices with stacked device chips using interposers
JP7348161B2 (ja) Nandメモリデバイスおよびnandメモリデバイスを形成するための方法
JP7214871B2 (ja) 半導体デバイス、接合構造および半導体デバイスを形成するための方法
TWI804314B (zh) 半導體裝置與三維記憶體裝置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220616

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220830

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230110